microprocessor

Announce Upload video
Computing core and control core of computer
Collection
zero Useful+1
zero
Microprocessor is composed of one or a few pieces large-scale integrated circuit Constituent a central processor These circuits Executive control Functions of components and arithmetic logic components.
The microprocessor can complete instruction fetching Execute instruction , and with the outside world storage and Logic unit Exchange information and other operations, yes Microcomputer The operation control part of. It can be connected with storage And peripheral circuit chips Microcomputer
Chinese name
microprocessor
Foreign name
Microprocessor
Alias
a central processor
Meaning
Computing core and control core of computer
Field
computer

overview

Announce
edit
Microprocessors and traditional a central processor In contrast, it has the advantages of small size, light weight and easy modularization. The basic components of microprocessor include: register Heap Arithmetic unit , timing control circuit, and data and Address bus
Since the invention of human beings in 1947 transistor Over 50 years semiconductor technology Experienced silicon transistor Integrated circuit , Super large-scale integrated circuit VLSI Wait several generations, Development speed It is faster than other industries. Semiconductor technology has a wide impact on the whole society, so it is called "the seed of industry". a central processor It refers to data processing and Processing With the rapid development of large-scale integrated circuit technology, the chip integration density of control components is getting higher and higher, CPU Can be integrated into a Semiconductor chip This kind of large-scale integrated circuit device with the function of central processing unit is collectively referred to as "microprocessor". It should be noted that the microprocessor itself is not equal to Microcomputer It is only the central processing unit of a microcomputer.
Microprocessors are everywhere, whether video recorder , intelligent washing machines, mobile phones and other household appliances, or automobile engine control, and numerical control machine , Missile precision guidance All kinds of microprocessors should be embedded. Microprocessors are not only Microcomputer The core component of Intelligent device Of Key components International super high speed Supercomputer Mainframe High end computing systems, such as, are also built with a large number of general-purpose high-performance microprocessors. [1]

internal structure

Announce
edit
microprocessor
16 bit microprocessor (shown in the figure 8086 microprocessor )It can be divided into two parts, one is Executive part (EU), that is, the part that executes the instruction; The other part is Bus interface unit (BIU), contact 8086 bus, execute slave storage The operation of fetching instructions. After the microprocessor is divided into EU and BIU, the command and Execute instructions The operations of are overlapped. There is one EU part register Heap, consisting of eight 16 bit registers, can be used to store data, index and Stack pointer , Arithmetic operation Logical unit ( ALU )Execution Arithmetic operation and Logical operation Flag register Conditions for storing the results of these operations. These components in the execution components are data bus Transmitting data. Bus interface unit There is also one register Heap, where CS DS , SS and ES yes storage space Segment register for segments. IP is the instruction pointer. Internal communication register It is also a register for temporarily storing data. The instruction queue is taken in advance Instruction flow Store it. The bus interface unit also has an address adder to segment register Value and offset value are added to obtain 20 bit Physical address Data and address via Bus control Logical and 8086 outside system bus Contact. 8086 has a 16 bit data bus. When the processor and off chip transmit data, 16 bits are transmitted at a time Binary number 8086 has a primary Pipeline structure , can realize the overlap of on-chip operation and off chip operation. [2]

Classification of microprocessors

Announce
edit
Intel Corporation
According to microprocessor application area Microprocessors can be roughly divided into three categories: general-purpose high-performance microprocessors Embedded microprocessor and Digital Signal Processor Generally speaking, general-purpose processors pursue high performance, and they are used to run General software , equipped with a complete and complex operating system; Embedded microprocessors emphasize high performance in dealing with specific application problems, and are mainly used to run domain oriented Special program , equipped with lightweight operating system, mainly used for Cellular telephone CD player And other consumer appliances; The price of microcontroller is relatively low, and it is in the microprocessor market requirement Largest, mainly used for automatic control equipment in automobile, air conditioning, automatic machinery and other fields.
CPU is Central Processing Unit Central microprocessor )It is the most important part of a computer and consists of an arithmetic unit and a controller. If computers are compared to people, then CPU is the human brain. The development of CPU is very rapid. It only took 21 years for personal computers to develop from 8088 (XT) to the Pentium 4 era.

development history

Announce
edit
microprocessor
CPU It has developed for many years. During this period process information CPU can be divided into: 4-bit microprocessor 8-bit microprocessor 16 bit microprocessor, 32-bit microprocessor and the latest 64 bit microprocessor personal computer The development of is advancing with the development of CPU. Microcomputer refers to large-scale vlsi It is the main component to integrate the main components of the computer - controller and Arithmetic unit After more than 30 years of development, the development of microprocessor can be roughly divided into:

first generation

Phase I
(1971-1973) Generally, 4-bit or 8-bit microprocessors with word length, typically in the United States Intel 4004 and Intel 8008 microprocessor. Intel 4004 is a 4-bit microprocessor that can perform 4-bit binary parallel operations. It has 45 instructions and a speed of 0.05MIPs (Million Instruction Per Second, Millions of instructions per second )。 Intel 4004 has limited functions and is mainly used for calculators Electric typewriter , Camera Platform scale , TV sets and other household appliances, so that these electrical equipment have intelligence, so as to improve their performance. Intel 8008 is the first 8-bit microprocessor in the world. storage PMOS process is adopted. At this stage, the computer works slowly, and the microprocessor Command system Incomplete, storage Very small capacity, only a few hundred bytes, no operating system, only assembly language Mainly used for Industrial instrument Process control.

Second generation

(1974-1977) Typical microprocessors are Intel 8080 /8085, Zilog Corporate Z80 And Motorola M6800. Compared with the first generation microprocessor, Integration 1~4 times higher, Operation speed It has been improved by 10~15 times, and the instruction system is relatively complete, with typical Computer Architecture And interrupt, direct storage Access and other functions.
Because microprocessors can be used to complete many computing tasks that used to be done with larger devices, and the price is cheap, semiconductor companies began to compete to produce microprocessor chips. Zilog has produced 8080 enhanced Z80, Motorola The company produced 6800, Intel In 1976, the company produced the enhanced 8085, but these chips basically did not change the basic characteristics of the 8080, and they are all second-generation microprocessors. They all use NMOS Process, integration degree about 9000 transistor The average instruction execution time is 1 μ S~2 μ S, using assembly language BASIC Fortran programming, using Single user operating system

Third generation

The third stage (1978-1984) was 16 bit microprocessor. In 1978, Intel Corporation took the lead in introducing the 16 bit microprocessor 8086. At the same time, in order to facilitate the original 8-bit computer users, Intel Corporation also proposed a quasi 16 bit microprocessor 8088.
8086 microprocessor The maximum main frequency speed is 8MHz, with 16 bits Data channel memory addressing The capacity is 1MB. meanwhile Intel It also produces Mathematical coprocessor i8087, The two chips use compatible Instruction set , but the i8087 instruction set has added some special logarithm , Index and trigonometric function And other mathematical calculation instructions. These instruction sets are collectively called x86 Instruction set. Although Intel has produced more advanced and faster new CPUs such as the second and third generation CPUs in succession, they are still compatible with the original x86 instructions, and Intel has followed the original x86 sequence in naming subsequent CPUs until Trademark registration It was a problem that I gave up using Arabic numerals Naming.
In 1979, Intel The company has developed 8088. Both 8086 and 8088 use 16 bits in the chip data transmission , so they are all called 16 bit microprocessors, but 8086 can transmit or receive 16 bit data every cycle, while 8088 only uses 8 bits every cycle. Because most of the original devices and chips are 8 bits, while the external 8 bits of the 8088 data transfer The receiver can be compatible with these devices. 8088 40 pin DIP package working frequency For 6.66MHz, 7.16MHz or 8MHz, the microprocessor integrates about 29000 transistors.
After Intel launched 8086 and 8088 CPUs, companies have also launched similar products, including Zilog Z8000 and Motorola M68000. 16 bit microprocessors are larger than 8-bit microprocessors Addressing space , stronger Computing power , Faster processing speed And more perfect instruction system. Therefore, 16 bit microprocessors can replace some Minicomputer Especially in single task and single user systems, 16 bit microprocessors such as 8086 are widely used.
In 1981, the United States IBM The company will 8088 chip It has been used in the IBM - PC computer it developed, thus creating a new era of microcomputer. It was also from 8088 that the concept of personal computer (PC) began to develop all over the world. Since 8088 was applied to IBM PC, personal computer has really entered people's work and life, and it also marks the beginning of a new era.
In 1982, Intel Corporation developed the 80286 microprocessor based on the 8086 microprocessor Dominant frequency 20MHz, 16 bit internal and external data transmission, 24 bit Memory Addressing of the memory, memory addressing The capacity is 16MB. 80286 can work in two ways, one is called Real mode The other is called protection.
In real mode, the total amount of memory that the microprocessor can access is limited to 1 Megabyte In the protection mode, 80286 can Direct access 16 megabytes of memory. In addition, 80286 works in the protection mode, which can protect the operating system, unlike unprotected microprocessors such as real mode or 8086, which will shut down the system in case of abnormal applications.
IBM uses 80286 microprocessor in advanced technique Microcomputer, namely AT machine, caused a great sensation. 80286 has significant improvements over its predecessors in the following four aspects: it supports larger memory; Able to simulate Memory space Can run multiple tasks at the same time; The processing speed is improved.
The speed of the earliest PC was 4MHz, and the first AT machine based on 80286 running speed For 6 MHz to 8 MHz, some manufacturers have also improved the speed by themselves, making 80286 reach 20 MHz, which means that there has been significant progress in performance.
80286 is a kind of packaging called PGA square packing. PGA is derived from PLCC It has an internal and external solid pin. In this package, 80286 integrates about 130000 transistors.
IBM PC/AT The microcomputer bus maintains the three layers of XT Bus structure , and added high and low bit bus Driver Convert logic and high byte bus. Like XT, the CPU is also welded to the motherboard.

The fourth generation

The fourth stage (1985-1992) is a 32-bit microprocessor. On October 17, 1985, Intel's epoch-making product, the 80386DX, was officially released. It contains 275000 transistors, clock frequency 12.5MHz, and then gradually increased to 20MHz, 25MHz, 33MHz, and finally a small number of 40MHz products.
Interior and exterior of 80386DX data bus Is 32-bit, Address bus It is also 32-bit, can address to 4GB memory, and can manage 64TB Virtual storage space Its operation mode includes real mode and Protection mode In addition, a "virtual 86" working mode is added, which can be provided by simulating multiple 8086 microprocessors at the same time Multitasking Ability.
The 80386DX has more instructions than the 80286. The 80386 with a frequency of 12.5 MHz can execute 6 million instructions per second, 2.2 times faster than the 80286 with a frequency of 16 MHz. The most classic product of 80386 is 80386DX-33MHz, which is generally referred to as 80386.
Due to the powerful computing power of 32-bit microprocessors, PC applications have expanded to many fields, such as business office and computing engineering design And computing, data centers, personal entertainment. 80386 makes 32-bit CPU the standard of PC industry.
In 1989, Intel Corporation launched a quasi 32-bit microprocessor chip 80386SX. This is for Intel to expand market share A cheaper universal CPU is introduced. Its internal data bus 32-bit, 16 bit external data bus, which can accept 16 bit input developed for 80286/ Output interface Chip, reduce the cost of the whole machine. After the 80386SX was launched, it was widely welcomed in the market because the performance of the 80386SX was much better than that of the 80286, and the price was only one third of that of the 80386.
In 1989, Intel introduced the 80486 chip that we are familiar with. The great thing about this chip, which has been developed for four years and invested 300 million dollars, is that it has broken the limit of 1 million transistors for the first time, integrated 1.2 million transistors, and used 1 micron manufacturing process The clock frequency of 80486 is gradually increased from 25MHz to 33MHz, 40MHz and 50MHz.
80486 is a combination of 80386, mathematical coprocessor 80387 and an 8KB Cache Integrated in one chip. 80487 integrated in 80486 Operation speed It is twice as fast as the previous 80387, and the internal cache shortens the microprocessor and slow speed DRAM Of waiting time In addition, RISC is adopted for the first time in the 80x86 series( Reduced Instruction Set )Technology can be used in a Clock cycle Executes an instruction within. It also uses burst Bus Mode, greatly improving the memory Data exchange Speed. As a result of these improvements, the performance of the 80486 is four times higher than that of the 80386 DX with the 80387 math coprocessor.

The fifth generation

The fifth stage (1993-2005) is Pentium The era of (pentium) series microprocessors is usually called the 5th generation. Typical products are Intel's Pentium series chips and compatible ones AMD K6 series microprocessor chip. Internally adopted Superscalar instructions Pipeline structure , with Mutual independence The instruction and data cache of. along with MMX The emergence of (MultiMediaeXtend) microprocessor has made the development of microcomputer reach a higher level in networking, multimedia and intelligence.
The early Pentium 75MHz~120MHz used a manufacturing process of 0.5 μ m, and the later Pentium with a frequency above 120MHz used a 0.35 μ m process. The performance of the classic Pentium is fairly average. Integer operations and Floating point operation All pretty good. In order to improve the application ability of computers in multimedia and 3D graphics, many new instruction sets have emerged. The most famous three are Intel's MMX SSE And AMD's 3D NOW!. MMX (Multimedia Extensions) was invented by Intel in 1996 Multimedia instruction Enhanced technology, including 57 multimedia instructions, which can process multiple data at one time, MMX technology With the cooperation of software, better performance can be obtained.
Multi energy Pentium( Pentium MMX) Official name The "Pentium with MMX technology" was released at the end of 1996. Intel has locked and doubled the frequency of its CPUs since the Multi function Pentium. However, MMX's CPU has a strong ability to exceed the external frequency Core voltage To super double frequency, so at that time Overfrequency It is a very fashionable action. The word overclocking also became popular at that time.
Multi energy Pentium is another successful product of Intel after Pentium, and its vitality is also quite tenacious. Multi energy Pentium has made significant improvements on the basis of the original Pentium, adding 16KB on the chip Data cache And 16KB instruction cache, 4-way write cache and Branch prediction Unit and return stack technology. In particular, the newly added 57 MMX multimedia instructions make the Multifunction Pentium much faster than the Pentium CPU with the same main frequency even when running non MMX optimized programs.
Launched in 1997 Pentium II The processor combines Intel MMX technology to process movies, sound effects, and drawing materials with high efficiency. Single Edge Contact is used for the first time( S.E.C )Box type package with built-in high-speed cache Memory This chip allows computer users to capture, edit, and internet Share digital photos with relatives and friends, edit and add text, music or make home movies Transition effect , the use of video phones and the adoption of standards Telephone line The number of Intel Pentium II processor transistors is 7.5 million for transferring movies with the Internet.
Pentium III The processor adds 70 new instructions and Internet streaming SIMD The extension set, called MMX, can significantly improve the performance of advanced video, 3D, streaming music, movies, voice recognition and other applications. It can significantly improve the use experience of the Internet, so that users can browse realistic online museums and stores, and download high-quality movies. Intel imported 0.25 for the first time Micron technology ,Intel Pentium III transistor The number is about 9.5 million.
In the same year, Intel also released the Pentium III Xeon processor. As the successor of Pentium II Xeon, in addition to adopting a new design on the kernel architecture, it also inherits the new 70 instruction sets of the Pentium III processor to better execute multimedia Streaming Media Application software. In addition to facing the enterprise market, Pentium III Xeon has strengthened the ability of e-commerce applications and high-level business computing. In terms of cache speed and system bus structure, there have also been many improvements, which have greatly improved the performance Multiprocessor Collaboration The design is carried out.
Launched in 2000 Pentium 4 With 42 million transistors built in the processor and 0.18 μ m circuits, the speed of the initial release of Pentium 4 is up to 1.5 GHz The number of transistors is about 42 million. In August of the next year, the Pentium 4 processor reached the 2 GHz milestone. In 2002, Intel launched a new Intel Pentium 4 processor with innovative Hyper Threading( HT Hyper Threading Technology. Hyper threading technology creates a new level of high-performance desktop computer, which can quickly execute multiple computing applications at the same time, or bring higher performance to software supporting multiple threads. Hyper threading technology increases computer performance by 25%. In addition to providing hyper threading technology for desktop computer users, Intel also reached another computer milestone, namely, the introduction of the Pentium 4 processor with an operating frequency of 3.06 GHz, which is the first commercial microprocessor to execute 3 billion computing cycles per second. Such excellent performance is attributed to the most advanced 0.13 micron process technology in the industry at that time. The next year, The Intel Pentium 4 processor with built-in hyper threading technology has a frequency of 3.2 GHz.
PentiumM: By Israel New mobile CPU specially designed by the team, Pentium M yes Intel The company's x86 architecture microprocessor is used for notebook personal computers Be treated as Part of Centrino, launched in March 2003. The following are published Dominant frequency : Standard 1.6GHz, 1.5GHz, 1.4GHz, 1.3GHz, low voltage 1.1GHz, ultra-low voltage 900MHz. In order to Dominant frequency Get high efficiency, Banias It has been optimized to execute more instructions per clock Branch prediction To reduce the error prediction rate. In addition, the most prominent improvement is to increase L2 cache to 1MB( P3 -M and P4-M are only 512KB). It is estimated that most of the 77 million transistors in Banias are used here.
In addition, there are a series of designs related to reducing power consumption: the enhanced Speedstep technology is indispensable, with multiple Supply voltage And computing frequency, so that the performance can better meet the application requirements.
Intelligent power supply distribution can centralize and distribute the system power to the places where the processor needs, and close idle applications; Mobile Voltage Positioning (MVPIV) technology can dynamically reduce voltage based on processor activity, thus supporting lower cooling design power and smaller Appearance design 400 MHz with optimized power system bus Micro ops fusion technology combines multiple instructions that can be executed at the same time into one instruction to improve performance and power efficiency. Dedicated stack manager, with dedicated hardware to record internal operation, the processor can be nondisruptive Execution procedure
Banias Chipset 855 series, 855 chipset is composed of North Bridge Chip 855 and Nanqiao chip ICH4 -M, the North Bridge chip is divided into 855PM without built-in graphics card (code: Odem) and 855GM with built-in graphics card (code: Montara GM), supporting up to 2GB DDR266 /200 memory, AGP4X, USB2.0, two groups ATA -100、 AC97 Sound effect and Modem The 855GM optimizes the InternalClockGating for 3D and display engines. It can power the 3D display engine when needed, thus reducing Chipset Power of.
Intel launched the Dual core The processor has Pentium D and Pentium Extreme Edition At the same time, the 945/955/965/975 chipset was launched to support the newly launched dual core processors. The two newly launched dual core processors manufactured with 90nm technology are not used Pin LGA 775 interface, but the SMD capacitor The number has increased and the arrangement is different.
Desktop platform Sandy Bridge Smithfield 's processor, Officially named It is a Pentium D processor, which is used instead of Arabic numerals English letter In addition to representing the generation alternation of dual core processors, the letter D is more likely to remind people of the meaning of dual core processors.
Intel's dual core architecture is more like a Dual CPU Platform, Pentium D processor continues to be used Prescott Architecture and 90nm Production technology Production. The Pentium D kernel is actually composed of two independent 2 independent Prescott cores, each of which has an independent 1MB L2 Cache And the execution unit. The two cores together have a total of 2MB. However, since the two cores in the processor have independent caches, each L2 Cache The information in it is completely consistent, otherwise, an operation error will occur.
To solve this problem, Intel entrusted the coordination between the two cores to the external MCH North Bridge )Chips, although the data transmission and storage between caches are not huge, there is no doubt that due to the need for coordinated processing through external MCH chips, the overall processing speed will be delayed to a certain extent, thus affecting the overall performance of the processor.
Since Prescott kernel is adopted, Pentium D also supports EM64T Technology XD bit Security technology It is worth mentioning that Pentium D processors will not support Hyper Threading technology. The reason is obvious: Physical Processor And multiple Logical processor Proper allocation between data stream Balancing computing tasks is not easy. For example, if application program Two computing threads are required. Obviously, each thread corresponds to a physical kernel. But what if there are three computing threads? Therefore, in order to reduce the complexity of dual core Pentium D architecture, Intel decided to cancel the support for Hyper Threading technology in Pentium D for mainstream markets.
The same was made by Intel, and the difference in the names of the Pentium D and Pentium Extreme Edition dual core processors also indicates that the two processors are different in specifications. The biggest difference between them is that Hyper Threading (Hyper Threading) technology. Pentium D No Support Hyper Threading Technology However, the Pentium Extreme Edition does not have such restrictions. With Hyper Threading enabled, the dual core Pentium Extreme Edition processor can simulate the other two logical processors, which can be regarded as four by the system Core system
Pentium EE Series are marked with three digits in the form of Pentium EE8xx or 9xx, such as Pentium EE840. The larger the number, the higher the specification or the more features supported.
Pentium EE8x0: indicates that this is Smithfield core, and each core is 1MB L2 Cache The only difference between the 800MHzFSB and the Pentium D8x0 series is that it adds support for hyper threading technology. In addition, other technical features and parameters are identical.
Pentium EE9x5: indicates that this is Presler Core, 2MB per core L2 Cache 1066MHzFSB, which is different from the Pentium D9x0 series only by adding support for hyper threading technology and Front end bus It is increased to 1066MHzFSB. In addition, other technical features and parameters are identical.
Single core Pentium 4, Pentium 4 EE, Celeron D and dual core Pentium D and Pentium EE CPUs adopt LGA775 Encapsulation. With previous Socket 478 The interface CPU is different. The bottom of the LGA 775 interface CPU has no traditional pins. Instead, 775 contacts are used, that is, they are not pin type but contact type. They are contacted with 775 pins in the corresponding LGA 775 slot Transmission signal LGA 775 interface can not only effectively improve the signal intensity . Increase the processor frequency, and also improve the Yield , Lower production costs

The sixth generation

Phase 6 (2005 to date) is CoRE The era of (core) series microprocessors is usually called the 6th generation. "Core" is a new type of leading energy-saving Microarchitecture , designed to provide outstanding performance and energy efficiency Performance per watt , which is the so-called Energy efficiency ratio The early core is based on Notebook processor Of. Core 2 : The English name is Core 2 Duo, which is a new generation of Intel based Core microarchitecture The product system of. Issued on July 27, 2006. Core 2 is a cross platform architecture, including server version, desktop version and mobile version. Where, the server version Development code Woodcrest, the development code of desktop version is Conroe, and the development code of mobile version is Merom
The Core microarchitecture of Core 2 processor is designed by Intel's Israeli design team Yonah New generation based on micro architecture Intel Architecture The most significant change is to strengthen each key part. To improve the internal data of the two cores Exchange efficiency The shared two-level cache design is adopted, and the two cores share up to 4MB of two-level cache.
Following the LGA775 interface, Intel first introduced LGA1366 Platform, positioning high-end flagship series. First used LGA 1366 The processor code of the interface is Bloomfield , improved Nehalem Core, based on 45nm process and original four core design, built in 8-12MB L3 cache LGA1366 platform introduces Intel Hyper Threading technology again, and QPI Bus technology It replaces the front-end bus design that has been used since the Pentium 4 era. The most important thing is that the LGA1366 platform supports Three channel memory The designed platform has a greater improvement in actual efficiency, which is also a major difference between the LGA1366 flagship platform and other platforms in terms of positioning.
As the representative of high-end flagship, the processors of early LGA1366 interface mainly include 45nm Bloomfield Core Core i7 Quad core processor With Intel's purchase of 32nm process in 2010, the representative of high-end flagship was replaced by Core i7-980X processor core technology , with the most powerful performance. For users preparing to build high-end platforms, LGA1366 still occupies High end market The Core i7-980X and Core i7-950 are still good choices.
Intel Core i7 It's a 45nm Native quad core processor , the processor has 8MB L3 cache , support Three channels DDR3 memory The processor adopts LGA 1366 Pin Designed to support the second generation of hyper threading technology, that is, the processor can run with eight threads. According to the test circulated online, the core i7 on the same frequency Core 2 Quad The performance is much higher.
Based on previous data, Intel will first release three Intel Core i7 processors with frequencies of 3.2GHz, 2.93GHz and 2.66GHz, Dominant frequency The 3.2GHz processor belongs to Intel Core i7 Extreme, and the price of the processor is $999. Of course, this top processor is aimed at users with fever. The lower frequency 2.66GHz is priced at $284, about 1940 yuan RMB , targeting ordinary consumers. The new generation of Core i7 processors will be available in the fourth quarter of 2013.
From Intel Technology Summit 2008( IDF According to Intel's demonstration on 2008), the core i7's capability is about three times that of the core 2 extreme qx9770 (3.2GHz). On IDF, intel The staff demonstrated the CineBench R10 with a core i7 3.2GHz processor Multithreading Rendering, the result is amazing. After the rendering starts, eight threads of the four cores start working at the same time. Only 19 seconds later, the complete picture appears on the screen, scoring more than 45800. In contrast, core2 extreme qx 9770 3.2GHz can only get around 12000 points, Overfrequency At 4.0GHz, it barely exceeds 15000 points, less than one third of the core i7. The super strength of core i7 can be seen from this.
Core i5 It is a four core processor based on Nehalem architecture Consolidated memory controller , L3 cache mode, L3 up to 8MB, support Turbo Boost And other technologies Computer configuration The main difference between it and Core i7 (Bloomfield) is that the bus does not use QPI, but uses mature DMI (Direct Media Interface) and only supports Dual channel DDR3 memory. Structurally, it uses LGA1156 LGA1366 is used in Core i7. I5 has Rui frequency technology, which can be overclocked under certain circumstances.
Core i3 Can be seen as a further compact version of Core i5 (or Castration edition ), there will be 32nm process version (R&D code: Clarkdale , based on Westmere Architecture). Core i3 The biggest feature is the integration of GPU( Graphics Processor )In other words, Core i3 will be encapsulated by CPU+GPU. Due to the limited performance of the integrated GPU, users can add graphics cards if they want better 3D performance. It is worth noting that even Clarkdale, Show Core Some fabrication processes will still be 45nm. The biggest difference between i3 and i5 is that i3 does not have Remax technology.
In June 2010, Intel released the revolutionary processor the second generation Core i3/i5/i7 again. The second generation Core i3/i5/i7 belongs to the second generation intelligent core family, all based on the new Sandy Bridge microarchitecture. Compared with the first generation product, it mainly brings five important innovations: 1. The new 32nm Sandy Bridge microarchitecture low power consumption , better performance. 2. Built in high-performance GPU( Core graphics card ), video coding , better graphics performance. 3、 Turbo Boost 2.0, more intelligent and more efficient. 4. The introduction of a new ring architecture brings higher bandwidth and lower latency. 5. Brand new AVX AES instruction set, strengthen floating point operation and encryption and decryption operation.
SNB( Sandy Bridge )It is a new generation of processor microarchitecture released by Intel in early 2011. The greatest significance of this architecture is to redefine“ Integration platform ”The concept of "seamless integration" with processors“ Core graphics card ”It's over“ integrated graphics ”The era of. This innovation benefits from the new 32nm manufacturing process. Because the processor under the Sandy Bridge architecture adopts a 32nm manufacturing process that is more advanced than the previous 45nm process, the CPU power consumption has been further reduced in theory, and the circuit size and performance have been significantly optimized, which is to integrate the graphics core (core graphics card) with the CPU package Create favorable conditions on the same substrate. In addition, the second generation CoRE New high-definition video processing unit The high and low speed of video transcoding is directly related to the processor HD video The addition of processing unit, the video of the new generation of Core processor processing time At least 30% more than the old processor. new generation Sandy Bridge Processor It adopts the new LGA1155 interface design, and cannot be compatible without LGA1156 interface. Sandy Bridge is a new micro architecture that will replace Nehalem, but it will still use the 32nm process. What's more attractive is that this time Intel will no longer CPU core The GPU core and GPU core are glued together with "glue", but the two are really in the same core.
In the afternoon of April 24, 2012 Beijing Planetarium , Intel officially released ivy bridge (IVB) processor. The 22nm Ivy Bridge will double the number of execution units to a maximum of 24, which will naturally lead to a further leap in performance. Ivy Bridge will support DX11 integrated graphics Additional XHCI added USB 3.0 The controller shares four of these channels, providing up to four USB 3.0, thus supporting native USB3.0 The production of the CPU uses 3D transistor technology to reduce the power consumption of the CPU by half.

form

Announce
edit
The microprocessor is composed of Arithmetic logic unit (ALU, Arithmetic Logical Unit); accumulator and General register Group; Program counter (also called instruction indicator); Timing and control Logic unit Data vs Address latch / Buffer Internal bus form. among Arithmetic unit And controller are its main components. [3]

Arithmetic logic unit

microprocessor
The arithmetic logic unit ALU mainly completes arithmetic operations (+, -, ×, ÷, comparison) and various Logical operation (AND, OR, NOT XOR , shifting), etc. ALU Yes Combinational circuit , no deposit in itself Operands Therefore, two operands must be saved register Register TMP and accumulator AC, The accumulator not only provides ALU with operands, but also receives ALU's operation results.
register The array is actually equivalent to the internal RAM , which includes General register Group and Dedicated Register group Two parts, general registers (A, B, C, D) are used to store data, intermediate results or addresses involved in the operation. They can be used as two 8-bit register To use. These are inside the processor register After that, frequent access can be avoided storage , can be shortened Instruction length And command execution time to improve the running speed , which also brings convenience to programming. special-purpose register include Program counter PC、 Stack indicator SP and Flag register FR, They are fixed and used to store addresses or address base values. Including:
A) Program counter PC is used to store the address of the next instruction to be executed, so it controls the execution order of the program. Under the condition of sequential execution of instructions, the contents of PC will be automatically increased by 1 for each byte of instructions taken. When the program is transferred, the new instruction address (target address) must be loaded into the PC, which is usually Branch instruction To achieve.
AMD Athlon
B) The stack indicator SP is used to store Top of stack Address. stack yes storage A specific area in. It presses“ LIFO ”Mode, when new data is pushed in stack When the data is popped from the stack, the data at the top of the stack will be popped, and the top of the stack will be automatically adjusted. That is to say, the data is being pushed Out of stack The operation is always carried out at the top of the stack. stack Once initialized (i.e. determined Bottom of stack Position in memory), the contents of the SP (that is, the top of the stack position) Automatic management
C) Flag register Also called Program status word PSW register , used to store the result characteristics after the execution of arithmetic and logic operation instructions, such as carry or overflow flag when the result is 0.
Timing and control logic It is the core control component of the microprocessor, which is responsible for controlling the whole computer, including storage Fetch instruction, analyze instruction (i.e. instruction decoding )Determine command operations and Operands Address, fetch operands, execute operations specified by instructions, and send operation results to memory or I/O port Etc. It also sends corresponding control signal To make the internal and external components of the CPU work in coordination.
Internal bus Used to connect the microprocessor Features And transmit data and control signal
It must be pointed out that the microprocessor itself cannot constitute an independent working system, nor can it independently Execution procedure , must be equipped with memory Input/output device Form a complete Microcomputer Can work independently. [3]

storage

Microcomputer Of storage It is used to store programs and data currently in use or frequently used. storage It can be divided into reading and writing modes Random access memory RAM (Random Access Memory) and read-only memory ROM(Read only Memory)。 RAM is also called read/write storage working process The CPU can read or write its contents at any time as required. RAM is Volatile memory That is, its contents will be lost after power failure, so only temporary programs and data can be stored. The contents of the ROM can only be read but not written. The information stored in the ROM remains unchanged after power failure. It is a non-volatile memory. Therefore, ROM is often used to store programs and data of permanent parts. Such as initial guidance program Monitoring program Basic input and output in the operating system Management procedures BIOS, etc. [3]

I/O interface

I/O interface circuit yes Microcomputer Important components of. He is Microcomputer Connect external inputs output device And various control object And communicate with the outside world information switching Logic control circuit of. Due to the structure, working speed, signal form and data format They are different, so they cannot be directly attached system bus In order to realize information exchange with CPU, I/O interface circuit must be used for intermediate conversion. I/O interface Also called I/O Adapter Different peripherals must be equipped with different I/O adapters. The I/O interface circuit is a microcomputer application system An essential and important component. In fact, the development and design of any microcomputer application system is mainly the development and design of I/O interface. Therefore, I/O interface technology is one of the important contents discussed in this course, which will be introduced in detail in Chapter 8. [3]

Bus

Bus yes computer system Transmission of information between components in Public access , Yes Microcomputer Important components of. It consists of several communication lines and a variety of Tristate gate Device composition. Microcomputer Always adopt structural form Bus structure , that is, the functional components (microprocessor storage , I/O interface circuit, etc.) Computer system structure The uniqueness of. use Bus structure After that, the relationship between the functional components in the system will be transformed into a single bus oriented relationship between the components( Function board /Card) as long as Bus standard Can be connected to the system adopting this bus standard, so that system function It is easy to expand or update, simple in structure, and greatly improved in reliability. stay Microcomputer , depending on their location and application, Bus It can be divided into the following four levels, as shown in Figure 1.4.
(1) On chip bus: it is located inside the microprocessor chip, so it is called chip Internal bus It is used for interconnection and information transmission between ALU and various registers in the microprocessor (as shown in Figure 1.3 Internal bus Is the on-chip bus). Due to the limitation of chip area and external pin number, the on-chip bus is mostly single Bus structure , which helps Chip integration and Yield And if it is required to accelerate internal data Transmission speed , dual bus or triple bus structure can also be adopted.
(2) Chip bus : Chip bus is also called component level (chip level) bus or Local bus Microcomputer mainboard, single trigger and other plug-in boards and cards (such as various I/O interface boards/cards) Subsystem The board/card contains various chips such as CPU, RAM, ROM, I/O interface, etc. These chips are also connected by Bus To connect Because it is conducive to simplifying the structure, reducing the connection, improving the reliability, and facilitating the transmission and control of information. Usually, the bus connecting chips on various boards and cards is called chip bus or component level bus.
Compared with a complete Microcomputer For example, various boards/cards are just a subsystem and a part, so the chip bus is also called Local bus The bus used to connect the cards of various functional parts of the microcomputer is called system bus Local bus Is an important concept, which will be discussed in Chapter 7.
(3) Internal bus: also called internal bus system bus Or board level bus. Because the bus is used to connect various functional components of the microcomputer to form a complete micro-computer system , as shown in Figure 1.2, so it is called system bus system bus yes micro-computer system The most important bus in Microcomputer bus It refers to system bus, such as PC bus and AT bus( ISA bus )、 PCI bus Etc. system bus It is one of the key points we will discuss.
system bus The information uploaded includes data information, address information control information , therefore, the system Bus The bus contains three different functions, namely data bus DB(Data Bus)、 Address bus AB (Address Bus) and Control bus CB (Control Bus), as shown in Figure 1.2.
data bus DB is used to transmit data information. data bus It's two-way Tristate The form of bus, that is, it can not only data transfer reach storage Or I/O interface and other components, and the data of other components can also be transmitted to the CPU. data bus The number of digits is Microcomputer An important indicator of, usually consistent with the word length of microprocessors. For example, Intel 8086 microprocessor has a word length of 16 bits Data bus width It is also 16 bits. It should be noted that the meaning of data is broad. It can be real data, instruction code or status information , sometimes even a control information, so in actual work, data bus The data uploaded is not necessarily just the real data.
Address bus AB is specially used to transmit address, because the address can only be transmitted from the CPU to the outside storage Or I/O port, so the address bus is always one-way and tri state, which is the same as data bus Different. Address bus The number of bits determines that the CPU can Direct addressing Of Memory space Size. For example, if the address bus of an 8-bit microcomputer is 16 bits, the maximum Addressing space 2 ^ 16=64KB, 16 bits microcomputer The address bus of is 20 bits, and its addressable space is 2 ^ 20=1MB. In general, if Address bus Is n bits, then Addressing space It is 2 ^ n bytes.
Control bus CB is used to transmit control signal and Sequential signal control signal Some microprocessors send storage And I/O interface circuits, such as read/write signals, Chip Select Interrupt response Signal, etc; Other components also feed back to the CPU, such as interrupt application signal Reset signal , bus request signal, limit readiness signal, etc. Therefore, Control bus The transmission direction of control signal However, it is generally bidirectional, and the number of control bus bits should be based on the actual situation of the system Control needs It depends. actually Control bus The specific situation of depends mainly on the CPU.
(4) External bus : Also called communication bus. Used for connection and communication between two systems, such as two micro-computer system , microcomputer system and other electronic instruments or Electronic equipment Communication between. Common communication buses are IEEE-488 bus , VXIbus and RS-232 Serial bus Etc. External bus is not micro-computer system It is inherent in the microcomputer application system.

AMD CPU

Announce
edit

K5

K5 Yes AMD The company's first independently produced x86 CPU , released in 1996. Because K5 encountered problems in development Time to market than Intel Of Pentium It's a lot late. In addition, the performance is poor. This Unsuccessful AMD's market share Large losses. The performance of K5 is very general, integer Computing power Not as good as Cyrix 6x86, but still slightly stronger than Pentium, Floating point operation Its capability is far less than that of Pentium, but slightly better than that of Cyrix. In a word, K5 is a product with average strength. The low price of K5 is obviously more attractive to consumers than its performance. Low price is the biggest selling point of this CPU. AMD is naturally not reconciled to Pentium's influence in the CPU market, so they launched K6 in 1997. K6 CPU Design index It is quite high. It has a brand new MMX Instruction and 64KB L1 Cache (ratio Pentium MMX is doubled), the overall performance is better than Pentium MMX , close to the same Dominant frequency P Ⅱ level. Compared with K5, K6 can process more instructions in parallel and run on higher clock frequency On. AMD is very successful in integer operation, and K6 is slightly backward because it needs to use MMX or Floating point operation Of application program Compared with the Pentium with the same frequency, it is much worse.

K6

K6 has 32KB data L1 Cache , 32KB instruction L1 Cache, integrating 8.8 million transistor 0.35 Micron technology , fifth floor CMOS , C4 process Reverse mounting Chip, core area 168 square millimetre (68 mm2 for new products), using Socket7 architecture. Cyrix is one of them Old qualification Of CPU developers, as early as x86 In the new era, it, Intel and AMD have formed a situation of three powers standing side by side.

K6-2

AMD officially launched K6-2 microprocessor in April 1998. It is manufactured by 0.25 micron process, the chip area is reduced to 68 square millimeters, and the number of transistors is also increased to 9.3 million. In addition, K6-2 has 64KB L1 Cache, L2 Cache Integrated on the motherboard, the capacity ranges from 512KB to 2MB, and the speed is System bus frequency Synchronization, working voltage 2.2V, supported Socket 7 framework.
K6-2 is a K6 chip plus 100MHz Bus frequency And support 3D Now Of floating-point instructions“ Conjugates ”。 3D Now! Technology is a major breakthrough in the x86 system, which greatly enhances the density required for processing 3D graphics and multimedia Floating point operation Performance. In addition, K6-2 supports Superscalar MMX technology, supporting 100MHz Bus frequency , which means that the system is L2 Cache And memory Transmission rate Improve by nearly 50%, thus greatly improving the performance of the whole system. As the last microprocessor independently developed by Cyrix, Cyrix M Ⅱ began production in March 1998. In addition to the features of 6x86 itself, the microprocessor also supports MMX instructions Core voltage 2.9V, with 256 byte instructions; 3.5X frequency multiplication; 6.5 million transistors are integrated in the core, with a power consumption of 20.6 watts; 64KB L1 cache

K6-Ⅲ

AMD launched K6 - Ⅲ, code named "Sharptooth" in February 1999, which is the last model of the company to support Super 7 architecture and CPGA Packaging form CPU of 0.25 μ m manufacturing process The core area is 135 square millimeters, with 21.3 million transistors integrated, and the operating voltage is 2.2V/2.4V.

Athlon(K7)

Compared with K6-2, the biggest change of K6 - Ⅲ is that 256KB L2 cache (new celeron Only 128KB), and Dominant frequency Speed operation. This change of K6 - Ⅲ will be able to play a greater role Dominant frequency Advantages. In addition, the microprocessor also has 64KB L1 cache (32KB for instructions and 32KB for data) System bus frequency Synchronous operation Of L3 cache Its capacity is between 512KB and 2MB. On June 23, 1999, AMD launched the K7 microprocessor with great strategic significance, and Officially named by Athlon K7 has two specifications: the first one is manufactured by 0.25 micron process, uses K7 core, and the working voltage is 1.6V (its buffer is Dominant frequency Half of the speed); The second one is made by 0.18 micron process and uses K75 core; The working voltage is 1.7V and 1.8V. These two types of K7 microprocessors are integrated with 21.3 million transistors, fsb All are 200MHz.
Athlon contains 128 KB L1 Cache (P Ⅱ/P Ⅲ is only 32 KB); 512KB~1MB L2 Cache off chip cache. At the same time, it also adopts a new macro processing structure, with three parallel x86 instruction decoders, which can dynamically predict the timing, Out of order execution K7 has a strong Floating point processing unit , at 3DNOW! With the help of commands, it will have further 3D and multimedia processing capabilities FPU Make K7 have twice the performance of other x86 microprocessors! In addition, K7 adopts a new Slot A architecture similar to Slot 1 Physical structure The above two can be interchanged, but the electrical performance of the latter is completely incompatible with the former. stay Bus For the aspect, the Alpha of Digital Company is used system bus Protocol EV6, fsb Up to 200MHz; Athlon is the first AMD SMP (Symmetrical multi microprocessor technology) desktop CPU, that is, users can use Athlon to build dual microprocessor or even four microprocessor systems! AMD launched the new Thunderbird in June 2000( Thunderbirds )、Duron( Duron )Microprocessors once again pose a strong challenge to the microprocessors at the core of Intel Copper.

Thunderbird

Thunderbird is a continuation product of AMD's high-end Athlon series, which adopts a manufacturing process of 0.18 μ m, including Slot A and Socket A Two different architectures, but they are basically the same in design: both have built-in 128KB L1 cache And 256KB L2 cache, which is the same as CPU Dominant frequency Speed synchronous operation; The working voltage is 1.70V~1.75V, and the corresponding power consumption is smaller than that of the old Athlon; 37 million transistors are integrated, and the core area reaches 120 square millimeters.
In addition, Thunderbird microprocessor supports 200MHz System bus frequency , provides huge bandwidth, supports Alpha EV6 bus protocol, and has multiple parallel x86 instructions decoder

Duron

Duron microprocessor is AMD's first low-end microprocessor based on Athlon core improvement. Its original R&D code is called "Spitfire". Duron fsb It is also 200MHz, with a built-in 128KB L1 cache And 64KB full speed L2 cache. Its working voltage is 1.5V, so its power consumption is smaller than Thunderbird's. Moreover, its core area is 100 mm2, and the number of internally integrated transistors is 25 million, 3 million more than the Athlon of K7 core. These characteristics are in line with AMD's strategy for low-end market, that is, low cost low power consumption And high performance. In terms of floating point performance, Duron based on K7 system is obviously superior to Intel series microprocessor designed with P6 core. It has three full pipelining Out of order execution Unit, one for addition/subtraction, one for compound instructions and one for floating point Storage unit

Other microprocessor development

Announce
edit
[4] In 1975, IBM The company has produced several RISC based processors. Among them, 801 is the masterpiece of John Cocke, the father of RISC. Finally, power architecture will be designed 15 years later Series of products A series of chips with RISC structure will emerge several years later ARM
This is a kind of RISC architecture developed after it was recognized by the industry in the 1980s, HP Of HPPA -RISC
In 1975, Motorola Launched 6800, with 78 processors Instruction set Many types of Motorola monolithic processors and microprocessors design idea They all come from 6800. Even 6809, which was once popular and powerful, inherited 6800 lineage. In 1985, Motorola launched the MC68010 and the 32-bit RISC processor family named 88000. But in 1990, due to the need to develop PowerPC And was forced to stop production.
Z-80 is designed by Frederico Faggin, who left Intel 8-bit microprocessor It is considered to be the enhanced version of 8080. It is also a very powerful single chip computer at that time. It entered China earlier than the later glorious 51 series. In the early 1980s, the school was based on Z80 For basic teaching, this kind of chip is used in the single board computer that needs a TV as a display.
But the first Single chip Current number of 16 bit processors TI TMS 9900。 Although it has a strong momentum since its debut, TI had to reduce the output of 9900 in 1982 in order to develop its DSP business
Semiconductor industry Another giant, National Semiconductor Corporation Later acquired the X86 series processor Cyrix Company, which was founded by National Semiconductor in 1983( National Semiconductor )The NS32032 is also a RISC Processor, but unfortunately RISC The architecture's processors are personal computer Among the applications, only POWERPC chips are relatively successful in the market, while others can be said to be very unsuccessful. However, in another area: embedded applications, RISC processors are really brilliant.
In 1981, by Stanford University And some researchers MIPS Processor leverages depth Pipelining technology By simplifying the operation cycle of instructions, it solves the bottleneck interlocking problem of the pipeline and facilitates RISC An important shift in thinking.
In 1982, by Berkeley College The RISC-I developed has only 32 instructions, pipeline operation and register window, and its performance is superior to the single chip design of the same era
ARM It is a chip design company that does not produce chips by itself, but through Authorized production To develop ARM Series processors. ARM was founded in a barn in Cambridge, England, in November 1990. At first, there were only 12 people. After more than 11 years of development, today's ARM has more than 700 employees, more than 60% of whom are engaged in research and development, ARM It is a company that neither produces nor sells chips. It sells chips Technical Authorization , establish new microprocessor design, production and sales business model More importantly, this business model has achieved great success, using ARM technology IP core The microprocessors of Consumer Electronics , imaging Industrial control Mass storage , network, security, wireless and other markets, ARM technology is almost everywhere. ARM licenses its technology to many famous semiconductors, software and OEM Each manufacturer gets a unique set of ARM Related technologies And services. With this partnership, ARM soon became the founder of many global RISC standards. A total of 30 semiconductor companies have signed hardware technology use agreements with ARM license agreement , including Intel, IBM LG Large companies such as Semiconductor, NEC, SONY, Philips and National Semiconductor. as for software system Partners, including Microsoft , Shengyang and MRI And a series of well-known companies.

China R&D

Announce
edit
February 18, 2004, by Tsinghua University The self-developed 32-bit microprocessor THUMP chip finally received the“ ID ”: Typical working frequency 400MHz, power consumption 1.17mW/MHz, 40 chips, maximum operating frequency up to 500MHz, is currently the highest operating frequency microprocessor in China. "This marks the beginning of China's independent research and development of CPU chips Substantive " The Ministry of Education spoke highly of the birth of THUMP.
At Godson-1 Godson-2 On the basis of, China is independently developing a new generation of Godson-3.
Godson 3A Of working frequency 900MHz~1GHz, power consumption about 15W, frequency 1GHz Double precision Floating point operation The peak speed reached 16 billion times per second, Single precision The peak speed of floating point operations is 32 billion times per second. Godson 3A adopts STC Company( ST Micro) 65 nm CMOS process Production, 425 million transistors, chips BGA package , the number of pins is 1121, and the power consumption is less than 15 watts. Godson 3A integrates four 64 bit Superscalar Processor core, 4MB two-level cache, two DDR2/3 memory controllers, two high-performance HyperTransport Controller, a PCI/PCIX controller, and LPC SPI UART , GPIO and other low speed I/O controllers. Godson 3A Command system And MIPS 64 compatible and X86 supported by command expansion Binary translation Godson-3 includes servers High performance computer Low energy consumption Data center, personal high-performance computers, high-end desktop applications, high throughput computing applications Industrial control digital signal processing , high-end embedded applications and other products have broad market application prospects.