杂志的下一篇文章
用于现场测试的具有良好交互和无线连接的便携式多通道电化学装置
下一篇特刊文章
近似优先级混合3DNoC无缓冲路由器
期刊上的上一篇文章
原子薄石墨烯/MoS的光门控效应2/MoTe公司2范德瓦尔斯异质结构
特刊上一篇文章
用于高性能互连的分布式共享缓冲和对角链接网格拓扑组合
 
 
订购文章重印
字体类型:
宋体 佐治亚州 宋体,Verdana
字体大小:
澳大利亚 澳大利亚 澳大利亚
行距:
列宽:
背景:
第条

环分裂:片上循环网络的无死锁路由算法

通过
亚历山大·罗曼诺夫
1,*,
尼古拉·米亚钦
2,
叶甫根尼·V·列日涅夫
1,
亚历山大·伊万尼科夫
艾哈迈德·埃尔·梅萨迪
4
1
俄罗斯莫斯科HSE大学,邮编:101000
2
OOO“OZON TEKHNOLOGII”,俄罗斯莫斯科123112
俄罗斯科学院微电子设计问题研究所,莫斯科124365,俄罗斯
4
埃及Menouf 32952,Menoufia大学电子工程学院物理与工程数学系
*
信件应寄给的作者。
微机器 2023,14(1), 141;https://doi.org/10.3390/mi14010141
收到的提交文件:2022年11月26日/修订日期:2022年12月10日/接受日期:2023年1月1日/发布日期:2023年1月5日

摘要

以下为:
本文考虑使用循环拓扑作为片上网络(NoC)的一种有前途的无死锁拓扑。本文提出了一种新的高层次模型Newxim,用于探索任何拓扑结构的NoC。提出了两种解决循环拓扑中循环依赖问题的方法,由于死锁的可能性增加,这两种方法限制了它们在NoC中的应用。第一种处理死锁的方法是通用的,适用于任何拓扑;它基于绕过非循环子网上网络的阻塞部分的思想。第二种方法-Ring-Split考虑了循环拓扑的特征。给出了使用无死锁路由算法对循环拓扑和网状拓扑的NoC峰值吞吐量进行高级建模和比较的结果。结果表明,一种新的循环路由方法(与网格拓扑相比)在网络负载均匀分布的情况下,网络吞吐量提高了59%。

1.简介

技术的不断发展和单个芯片上可能放置的计算节点数量的增加给片上网络(NoC)的通信子系统带来了越来越严峻的挑战。除了路由器的结构外,网络拓扑、数据传输控制方法和路由算法也会显著影响NoC特性[1]. NoC设计的一个重要方向是使用圆形拓扑。因此,Spidergon拓扑被用于ST Microelectronicx开发的STNoC软件[2,]; 以下是八角形拓扑的使用示例[4]及其3D版本的Octagon for Ubiquitous Computing(OUC)[5],米迪姆[6]所有这些拓扑都与循环拓扑有关,其在应用背景下的最佳配置作为NoC设计中的拓扑基础仍然很少研究。
循环拓扑[7] (图1)与mesh和torus拓扑相比具有更好的特性[8]. 它们已经被广泛用于各种网络:它们被用作本地计算机网络的拓扑[7,9,10,11],数据中心网络[12]、高性能计算集群[13,14,15]、通信网络[16]所有这一切都表明循环是NoC设计的有前途的拓扑。在这种情况下,在NoC中使用新拓扑需要开发路由算法,以不仅构建数据包传播路径,而且确保没有死锁,从而导致通过网络的数据传输完全停止[17]提出了几种不同效率和实现复杂度的循环拓扑路由算法。最复杂的对交换算法允许以数据包在发送时沿着循环生成器的必要转换次数的形式计算数据包的路由。最优循环的路由算法更容易实现,但不适用于环形循环。一种更简单的实现方法,即为用于环形循环而开发的顺时针路由算法,并不能保证最佳路由。除了中提到的路由算法之外[17],许多作者在不同的时间为不同类别的循环网络开发了许多不同的路由算法实现[18,19,20,21,22,23,24]. 现有的循环拓扑路由算法既有优点也有缺点,可以根据任务使用。但它们有一个共同的关键缺点,即所有这些算法都不能保证在实际的片上网络中数据传输中不存在死锁,这导致出现了一整套用于处理死锁的算法[25]. 由于循环是具有对称结构的规则拓扑,因此由于现有路由算法提供的路径重叠,循环中会发生死锁(图1).
存储和转发死锁(以下简称死锁)是几个数据包之间的循环依赖关系,导致它们在网络中的进一步移动相互阻塞。死锁发生的一个充分条件是“节点循环”的存在[26]通过强加可能的路线而形成。XY等算法[27,28],奇偶[29]、国有企业[30],Duato的协议[31]、和其他[25]对于mesh拓扑,通过使用简单的规则,保证了许多可能存在的不存在重叠的路径,从而确保了无死锁的存在,并显著提高了网络性能。为NoC中的路由算法提供死锁自由的重要性得到了以下事实的证实:已经为各种拓扑和NoC实现开发了数百种变体,这反映在许多调查中[9,32,33,34]. 同时,循环拓扑在NoC中的应用研究仍然很少(尽管在一些作品中有所反映)[8,17,35].
在这种拓扑中,确保死锁自由的问题尚未研究。对于循环拓扑,它们包含大量的循环并且具有复杂的结构,因此很难开发出能够提供满足“节点循环”约束的多条路径的算法。“节点循环”的最简单示例如所示图1,其中每个路由都与其他两个路由具有公共转换,这可能导致死锁。
因此,本工作的目的是开发和测试循环拓扑NoC中的死锁预防算法。本文提出了一种处理死锁的新方法——环分(RS)——以及路由的非循环子网方法(ASM)的实现,解决了循环拓扑的NoC中的死锁问题,并允许使用现有的路由算法(第3节,第6节第8节).
为了评估建议解决方案的有效性,有必要进行高级NoC建模。有大量的软件模拟器[36,37]开发用于解决各种拓扑的此问题。但是,在NoC设计中使用循环拓扑的想法是相当新的,并且现有的模拟器都没有为这项研究提供必要的工具。因此,基于Noxim模拟器的高级模型[38]已开发(第2节). 仿真结果如所示第6节第7节

2.研究具有循环拓扑的NoC的Newxim模型

为了创建我们自己的高级NoC模型,我们使用了Noxim模拟器[38]. 这个模型是一个托管在Github上的开源软件。该模拟器是卡塔尼亚大学使用SystemC语言开发的。其主要任务之一是利用无线数据传输信道进行NoC建模;其作者用它来证明所提出的无线数据传输技术解决方案在NoC中的有效性[39]. 同时,它也适用于普通网络的建模,如网格、蝴蝶、欧米茄等。Noxim具有灵活配置仿真参数的能力。可以设置NoC功耗参数、缓冲区大小、虚拟通道数、路由算法、时钟速率、数据包大小等。
在基本实现中,Noxim模拟器不支持任意拓扑,因此不支持其中的路由算法以及专门的流量控制方法,这大大限制了其功能。因此,模拟器进行了重大的重新设计,并扩展了附加功能。目前,模拟器已经改变了70%以上,因此,它已经作为一个名为Newxim的单独项目进行开发[40]. 它包含非常详细的文档,反映了它的优点、使用可能性和逐步说明。
模拟器实现对任意拓扑的支持需要改变其原始结构。图2显示了模拟器的总体结构;颜色显示了与原始模型相比模块发生了多少变化(绿色超过90%,蓝色超过60%,黄色超过30%)。
配置器获取输入参数(描述未来建模过程),检查值的有效性,并构建网络结构。网络结构的描述被传递给模拟器核心,后者创建网络本身并启动模拟。接下来,根据获得的值计算执行的仿真循环的度量,并生成最终报告。
网络是在图形的基础上创建的,可以手动设置,也可以根据内置模板之一进行设置,这样可以对任意拓扑进行建模。使用路由表指定拓扑的路由算法。为了提供该模型所需的灵活性,有必要更改路由器的实现以支持任意数量的端口。
网络生成过程也进行了修改。由于某些流量控制方法要求模型具有虚拟通道[25],决定通过在路由器对之间引入附加链路来实现虚拟信道模拟[41]. 这种方法的缺点是,额外的链路会增加估计的网络吞吐量,而硬件成本会降低。在比较使用附加信道的网络和不使用附加信道网络时,这可能会导致一些偏差,在分析仿真结果时必须考虑到这一点。
Newxim Manager多模拟管理系统进一步扩展了模拟器。它支持基于预定义参数的多次模拟运行。支持对每个系列的常规参数和特定参数进行配置。序列是相同类型模拟的集合,取决于变量参数,其范围和步长在开始之前设置。
该程序包括一个流量控制系统,因此可以并行运行任意数量的模拟。自动分配线程;用户只设置线程池的大小。建议不要将线程池设置为大于处理器(在其上执行模拟)可以实际执行的线程池。尽管程序继续正常工作,但这会对模拟时间产生负面影响。
为了可视化拓扑结构和路由算法,在模拟器中添加了简单路由分析器模块。该工具具有图形界面,支持通过邻接矩阵设置任意拓扑(图1). 此外,还有用于网状拓扑和循环网络的内置矩阵生成器。该程序支持研究过程中引入的各种路由算法。此外,还有一个负载测试模块,其中包含节点的顺序故障和此过程的度量集合。
为了对所开发的路由算法进行客观分析,有必要将其与现有的类似算法进行比较。尽管可以修改模拟器以添加新算法,但有必要提供一个大型标准实现库。为此,模拟器中内置了大量路由算法。网状网络的路由算法:XY、West-First、O1TURN、XY-YX、Negative First、North Last和Odd-Even[25,27,28,29,30]. CLUE算法[42,43]是为具有环形拓扑的网络实现的。此外,在开发过程中,为具有专用子网以绕过死锁情况的网络创建了不同版本的算法:虚拟子网和固定子网。
路由算法与选择策略一起工作。它负责根据算法提供的集合确定一条路径的方式。模拟器有一套内置的选择策略:随机、缓冲区级别、保持空间、随机保持空间、RS和虚拟RS。

3.环分裂算法描述

因为死锁是“节点周期”中可能的包路由重叠的结果[26] (图1),为了打破这些循环,有必要放弃一些可能的路线。同时,图中循环的中断也会导致图上所有“节点循环”的中断。
让有一个无向循环 C类 ( N个 ; 1 , 2 , , k个 ) ,其中 N个 是节点数, k个 是图形的维度,并且 ,   1 k个 是图形的生成器[7]. 这样的图表可以分为 k个 由对应于生成器的边和与其关联的顶点构造的子图。每个子图包括 j个 1 断开循环图,其中 j个 = G公司 C类 ( N个 , )
让我们将每个子图称为级别 L(左) j个 。属于相应级别的所有边都将被称为 j个 L(左) j个 循环图,对应于每个级别,称为环 ( j个 , 第页 ) ,其中 j个 是戒指所属级别的编号, 第页 是相应循环图的编号。因此,我们得到了每个级别的所有可能循环的集合,包括属于它的所有环。
让我们把一个循环中所有可能的循环分为两组:直接位于水平面上的循环, L(左) j个 , 以及由能级的初始连通性形成的循环的所有其他循环。通过对属于不同级别的边之间的过渡引入限制,我们可以打破第二组的所有循环。让我们写下从边缘过渡的条件 L(左) 到边缘 d日 L(左) d日 是允许的。级别之间的转换只能在其层次结构下进行,也就是说,如果条件 d日 因此,获得了一个规则系统,在该系统中,“节点循环”只能在现有层次的框架内发生 L(左) 也就是说,任务简化为在不同级别组织无死锁路由,每个级别包括 j个 断开循环图。

4.环分裂方法在路由算法中的应用

循环从A到B的每条路线 C类 ( N个 ; 1 , 2 , , k个 ) 可以表示为 k个 -量纲向量 { x个 1 , x个 2 , , x个 k个 } ,其中 x个 Z轴 -顺时针移动的次数 -th发电机( x个 > 0 )或逆时针移动( x个 < 0 )需要从节点开始到达节点B A类 因此,实现RS算法的必要条件是继续前进 x个 ,   = ( 2 , k个 ¯ ) 应该比之前进行 x个 负极 1 因此,数据包将以严格定义的顺序通过路由的所有生成器。
图3a、 b显示了循环数据包的级别划分和可能的移动 C类 ( 10 ;   1 ,   4 ) .数据包,从节点发出 A类 到节点 B类 ,进入其中一个戒指 ( 2 , 1 ) , ( 2 , 2 ) 并根据优先级执行所有必要的 x个 2 动作,然后它进入戒指 ( 1 , 1 ) ,在那里它使剩下的 x个 1 移动并到达节点B。使用此方案,数据包只能从级别移动 L(左) 2 至水平 L(左) 2 L(左) 1 ,满足条件 L(左) , d日 L(左) d日 , d日

5.环形布线的组织

提出的对数据包移动的限制是通用的,不依赖于所选的路由算法类型。在这种情况下,路由类型强烈影响每个环内的路由 ( j个 , 第页 ) 考虑可能的路由类型,这些路由可以与现有的限制系统结合使用,以消除死锁。

5.1. 存储和转发路由

存储和转发[25]是要实现的最简单的路由类型。为了保证每个环中没有死锁,有必要传输位于属于相应环的缓冲区中的那些数据包 L(左) 1 ,将保证所有数据包在两个方向上的移动,对于级别 L(左) 2 ,将保证所有数据包的移动,但队列中要转换到级别的数据包除外 L(左) 1 或被他们阻挡。这可以通过固定数据包在缓冲区中仲裁的顺序来实现。数据包的判定顺序对应于各个数据包缓冲区所属级别的顺序。一个级别内的顺序可以是任意的。最后决定传输属于本地缓冲区的数据包。

5.2. 虫洞路由

虫洞路由的实现[25]由于某些数据包的尾部可以阻止其他数据包的连续移动,因此具有显著的限制。为了解决这个问题,建议对每个环进行划分 ( j个 , 第页 ) 将循环转换为两个虚拟通道。因为包裹的价格不会超过 N个 / 2 在环周围移动的过程中的跳数(通过将到达环的不同部分的数据包通过不同的虚拟信道划分),可以保证没有阻塞,并且每个信道上的负载均匀。因此,根据图3c、 从循环中的前一半节点进入环的数据包被发送到VC1,而从后一半节点进入的数据包将通过VC2。在这种情况下,数据包可以随时离开环(遵守层间移动的限制),但不能更改同一环内的虚拟通道。如果用“节点周期”术语表示[26]循环将在零节点(对于顺时针方向移动的数据包)和与之相反的节点(对于朝另一方向移动的信息包)中断。保证“节点周期”中断的原因是,没有一个包会越过边界,这是对虚拟信道变化的限制以及环周围的最大移动次数等于的结果 N个 / 2 例如,基于图3c、 进入节点的数据包 0 4 顺时针移动永远不会从 9 -第个节点到 0 -从那时起,顺时针移动 9 -只能从 4 -不超过移动次数的限制。

6.应用非循环子网络解决死锁

一个更通用的解决方案是组织一个非循环子网,它允许在任何拓扑中组织无死锁的存储转发路由[13]. 该方法的本质是,任何陷入死锁的数据包都可以发送到子网络,以绕过阻塞的部分。使用非循环子网的最简单方法是使用零根生成树。在子网中组织路由有两个选项。
一旦将数据包转发到子网,就不能保证存在活锁,但会导致非常低的吞吐量。允许数据包多次重定向到子网,并允许其退出,可能会导致数据包在网络中循环,但此方法可以减少子网上的负载,并提供整个网络的良好吞吐量。我们将此方法称为非循环子网方法(ASM)。同时,实验结果表明,网络的特性在很大程度上取决于要选择的生成树。例如,图4显示了使用8×8网格拓扑和使用各种树作为子网络的标准XY算法对网络吞吐量进行建模的结果。
具有最小路由成本的树的选择(最小Wiener指数[44])会产生良好的吞吐量,但有时(我们还无法确定规律性),可能会有非维纳索引树的变体表现出更好的吞吐量。因此,为子网寻找最佳生成树的问题仍然悬而未决。此外,非循环子网方法[13]不能保证数据包路由的最佳性,但不会导致活锁[45]. 还需要考虑实施非标准路由器和生成树链接的额外成本。
子网也可以使用虚拟通道进行组织,这将降低硬件成本。由于底层拓扑是吞吐量的主要贡献者,而子网仅解决死锁,因此将子网从物理链路移动到虚拟链路不会对总体峰值吞吐量产生很大影响。
对于由实信道和虚信道组成的非循环子网,所提出的具有选项的通用方法的仿真结果如所示图5(通过吞吐量进行比较,因为这通常是表征网络通信子系统能力和数据包延迟的最具说明性的参数)。具有虚拟子网的NoC的吞吐量低于具有网格拓扑和标准XY算法的NoC。这表明,在尚未找到直接考虑其特性的解决方案的拓扑中,使用这种绕过数据包阻塞的方法是有意义的。同时,使用不同的子网拓扑或选择最佳生成树可以显著提高网络峰值吞吐量。
所考虑的方法的一个重要特征是,在达到某个峰值后,吞吐量会大幅下降。这是因为在峰值过后的那一刻,由于出现死锁而产生的网络负载超过了子网吞吐量。因此,总吞吐量几乎降低到子网本身的吞吐量水平。因此,有必要控制网络的总体负载,以便尽可能有效地使用网络。此外,此方法不允许出现阻止数据传输的包尾,因此仅适用于存储转发路由。

7.使用环分裂算法建模路由方法

为了分析所开发的RS算法的有效性,我们将使用该算法可以获得的网络吞吐量与使用XY算法在网格拓扑网络中可以获得的吞吐量进行了比较(之所以与平面二维网格拓扑进行比较,是因为循环通常也表示在二维空间中;XY算法用作参考算法,在NoC中最常见[25]). 对交换算法[17]被选为循环中的路由算法。
使用两个数据包的缓冲区大小(数据包大小-每个16位的五个flit)和存储转发路由 C类 ( 64 ;   5 ,   6 ) 与网格8×8拓扑相比,吞吐量增加了59%以上(图6). 当使用ASM的物理实现时,峰值吞吐量与RS相当。同时,ASM需要两倍多的通信信道和更复杂的路由器,而RS只是一个规则系统,它叠加在基本路由算法上,实际上不需要额外的开销。
由于对于节点数量增加的循环拓扑,直径的增加速度比网格拓扑慢,因此对于更多的节点,吞吐量的增加将更加显著。
作为循环,我们使用了族的最优图 C类 ( N个 ;   , + 1 ) (其属性在[7])因为考虑了配对交换算法[17]适用于他们。随着节点数量的增加,循环拓扑相对于网格拓扑的显著优势变得越来越明显[8]. 2D-torus拓扑的直径与循环相似,因为它是一个比网格更连通的图。众所周知,2D病毒的某些变体是非最优循环的特例,因此,所开发的RS算法也可以应用于它们。

8.环分裂算法的进一步发展

仿真结果表明,该路由方法具有良好的应用前景。但它们是使用Newxim高级模拟器获得的。这意味着他们不考虑算法实现的硬件成本,算法的评估是一项单独的复杂任务[46]. 此外,低级别的仿真可以帮助更准确地评估RS算法的特性。还需要分析路由算法对平均分组延迟的影响,这取决于吞吐量和基本算法,以及NoC拓扑本身的特性。因此,例如 C类 ( 64 ;   5 ,   6 ) 与网格8×8拓扑相比(图6)这在很大程度上是由于圆环的直径更好(6比14)、平均距离(3.7比5.3)和平分宽度(24比8)。
这项工作没有研究所提出的算法在网络负载非均匀分布的情况下(例如,在存在热点的情况下)的行为[47,48]. 此外,使用真实的交通模式建模[26,49]需要反映各种网络操作模式。这些是未来单独广泛研究的任务。
提出的RS算法的另一个发展方向是基于笛卡尔积的循环图平面细分。根据[50]二部循环图可以分解为更简单的图。如果这些子图中没有循环,则可以制定如下规则:在子图之间移动时,数据包必须更改其级别(虚拟通道)。在这种情况下,图本身的循环将被打破。网络节点可以存储属于其子图的那些节点的标签,而数据包可以存储发送它们的节点的标签。这将允许我们确定数据包何时跨越由平面细分形成的两个子图的边界。提出的假设需要检验。如果它是正确的,同样的方法也可能应用于其他图。

9.结论

提出的RS算法与使用最小维纳索引生成树形式的物理ASM的方法相比,具有相当低的峰值吞吐量,并且其实现简单得多,不需要非标准路由器。此外,RS算法允许在必要时使用虫洞路由。开发的新死锁预防方法为使用任何可用的路由算法使用循环作为NoC的拓扑基础提供了可能性。高级建模结果表明,与网络负载均匀分布的网格拓扑相比,使用新的循环路由方法可以使网络吞吐量提高59%。
第二种描述的通过组织非循环子网绕过死锁的路由方法是通用的,但由于实现的复杂性,它比考虑拓扑特征的算法差,因此,仅适用于尚未找到绕过死锁情况的形式化方法的网络拓扑。

作者贡献

概念化,A.Y.R.和N.M.M。;方法,A.Y.R.和N.M.M。;验证、A.E-M.、A.D.I.和N.M.M。;书面原稿编制,N.M.M.、A.Y.R.和E.V.L。;写作审查和编辑,E.V.L.、A.D.I.和A.E.-M。;可视化、E.V.L.和N.M.M.所有作者都已阅读并同意手稿的出版版本。

基金

A.Y.Romanov和E.V.Lezhnev获得结果的研究得到了国立研究型大学高等经济学院(HSE大学)基础研究项目的资助。

数据可用性声明

不适用。

利益冲突

作者声明没有利益冲突。

工具书类

  1. 阿加瓦尔,A。;伊斯坎德,C。;Shankar,R.芯片上网络(NoC)体系结构和贡献调查。J.工程计算。阿基特。 2009,, 4133–4144. [谷歌学者] [交叉参考]
  2. 科波拉,M。;Grammatikakis,医学博士。;罗切利(R.Locatelli)。;Maruccia,G.公司。;皮耶里西。低成本互连处理单元的设计:Spidergon STNoC第1版。;CRC出版社:美国佛罗里达州博卡拉顿,2008年。[谷歌学者]
  3. 比什诺伊,R。;库马尔,P。;拉克斯米,V。;高尔,M.S。;Sikka,A.蜘蛛网NoC的分布式自适应路由。第18届超大规模集成电路设计与测试国际研讨会论文集,印度哥印拜陀,2014年7月16-18日;第1-6页。[谷歌学者] [交叉参考]
  4. Reehal,G。;Ghany,M。;Ismail,M.Octagon架构,用于低功耗和高性能NoC设计。2012年7月25日至27日在美国俄亥俄州代顿举行的2012年IEEE国家航空航天和电子会议(NAECON)会议记录;第63-67页。[谷歌学者] [交叉参考]
  5. 王,Z。;顾,H。;陈,Y。;Yang,Y。;Wang,K.嵌入式普适计算系统的3D网络芯片设计。J.系统。阿基特。 2017,76, 39–46. [谷歌学者] [交叉参考]
  6. 普恩特,V。;伊祖,C。;格雷戈里奥,J.A。;贝维迪,R。;Prellezo,J.M。;Vallejo,F.通过改变网络链路的排列来提高并行系统的性能。2000年5月8日至11日在美国新墨西哥州圣达菲举行的第十四届超级计算国际会议(ICS′00)会议记录;第44-53页。[谷歌学者] [交叉参考]
  7. Monakhova,E.A.无向循环图综述。谨慎。数学。算法应用。 2012,4, 1250002. [谷歌学者] [交叉参考]
  8. Romanov,A.Y.。基于环形循环拓扑的片上网络路由算法的开发。太阳神 2019,5,4。[谷歌学者] [交叉参考] [公共医学] [绿色版本]
  9. Hwang,F.K.《多回路网络调查》。西奥。计算。科学。 2003,299, 107–121. [谷歌学者] [交叉参考]
  10. 拉加文德拉,C.S。;Silvester,J.A.本地计算机网络的多连接环路拓扑调查。计算。Netw公司。ISDN系统。 1986,11, 29–42. [谷歌学者] [交叉参考]
  11. 伯蒙德,J.C。;科梅拉斯,F。;Hsu,D.F.分布式环路计算机网络:一项调查。J.平行。分布计算。 1995,24, 2–10. [谷歌学者] [交叉参考]
  12. 埃里克森,A。;I.A.斯图尔特。;纳瓦里达斯,J。;Kiasari,A.E.恒星转型:从互联网络到数据中心网络。计算。Netw公司。 2017,113, 29–45. [谷歌学者] [交叉参考]
  13. O.G.莫纳霍夫。;莫纳霍娃,E.A。具有分布式内存的并行系统:交互的结构和组织; SO RAN:俄罗斯新西伯利亚,2000年。[谷歌学者]
  14. 邓,Y。;郭,M。;拉莫斯,A.F。;黄,X。;徐,Z。;Liu,W.优化低延迟网络拓扑以提高集群性能。J.超级计算机。 2020,76, 9558–9584. [谷歌学者] [交叉参考] [绿色版本]
  15. 黄,X。;拉莫斯,A.F。;Deng,Y.最优循环图作为低延迟网络拓扑。J.超级计算机。 2022,78, 13491–13510. [谷歌学者] [交叉参考]
  16. 道,H.M。;Silio,C.B.环网,具有有限数量的连续旁路站。IEEE传输。Reliab公司。 1998,47, 35–43. [谷歌学者] [交叉参考]
  17. 莫纳霍娃,E.A。;罗曼诺夫,A.Y。;Lezhnev,E.V.最优二维循环网络中的最短路径搜索算法:片上网络的实现。IEEE接入 2020,8, 215010–215019. [谷歌学者] [交叉参考]
  18. B.罗比克。二跳循环网络中的最优路由; 剑桥大学计算机实验室:英国剑桥,1996年;第7页。[谷歌学者] [交叉参考]
  19. 陈,B.-X。;孟J.-X。;Xiao,W.-J.无向双环网络的恒定时间最优路由算法。第一届国际会议记录,中国武汉,2005年12月13日至15日;第16卷,第308316页。[谷歌学者] [交叉参考]
  20. 戈麦斯,D。;古铁雷斯,J。;A.伊比亚斯。;马丁内斯,C。;Beivide,R.关于在具有两个跳跃的循环图中寻找最短路径。莱克特。注释计算。科学。 2005,3595, 777–786. [谷歌学者] [交叉参考]
  21. Dobrance,T。;泽洛夫尼克,J。;Robic,B.循环网络的最佳消息路由算法。J.系统。阿基特。 2006,52, 298–306. [谷歌学者] [交叉参考]
  22. 马丁内斯,C。;贝维迪,R。;斯塔福德,E。;莫雷托,M。;Gabidulin,E.M.用高斯整数建模环形网络。IEEE传输。计算。 2008,57, 1046–1056. [谷歌学者] [交叉参考]
  23. Cheng,D.W。;姚,K.H。;Xieh,S.Y.在广义递归循环图上构造独立支撑树。IEEE接入。 2021,9, 74028–74037. [谷歌学者] [交叉参考]
  24. Perez-Roses,H。;Bras-Amoros,M。;Serradilla-Merinero,J.M.《循环网络中的贪婪路由》。图。梳子。 2022,8, 1–16. [谷歌学者] [交叉参考]
  25. 加比斯,A.B。;Koudil,M.NoC路由协议——基于对象的分类。J.系统。阿基特。 2016,66–67, 14–32. [谷歌学者] [交叉参考]
  26. Jerger,N.E。;Krishna,T。;佩赫,L.S。芯片上网络第2版。;Morgan&Claypool出版社:美国加利福尼亚州圣拉斐尔,2017年;第12卷,第3页。[谷歌学者] [交叉参考]
  27. 胡,J。;奥格拉斯,纽约。;Marculescu,R.应用特定网络的系统级缓冲区分配——芯片路由器设计。IEEE传输。计算。设计。集成。电路系统。 2006,25, 2919–2933. [谷歌学者] [交叉参考]
  28. Dehyadegari,M。;Daneshtalab,M。;易卜拉欣,M。;PLoSila,J.公司。;Mohammadi,S.一种适用于片上网络的自适应模糊逻辑路由算法。2011年6月6日至9日在美国加利福尼亚州圣地亚哥举行的2011年NASA/ESA自适应硬件和系统会议记录;第208-214页。[谷歌学者] [交叉参考]
  29. Chiu,G.M.自适应路由的奇偶转弯模型。IEEE传输。平行配送系统。 2000,11, 729–738. [谷歌学者] [交叉参考] [绿色版本]
  30. 胡,S。;Lin,X.网络-芯片中的对称奇偶路由模型。2012年IEEE/ACIS第11届计算机和信息科学国际会议论文集,中国上海,2012年5月30日至6月1日;第457-462页。[谷歌学者] [交叉参考]
  31. 杜阿托,J。;Yalamanchili,S。;Ni,L.互连网络:工程方法。摩根-考夫曼计算机体系结构与设计系列; 摩根·考夫曼出版社:美国加利福尼亚州旧金山,2003年。[谷歌学者] [交叉参考] [绿色版本]
  32. 格拉茨,P。;格罗特,B。;Keckler,S.W.针对片上网络负载平衡的区域拥塞意识。2008年2月16日至20日,美国犹他州盐湖城,IEEE第十四届高性能计算机体系结构国际研讨会论文集;第203-211页。[谷歌学者] [交叉参考]
  33. Ebrahimi,M.二维和三维网络的全自适应路由算法和基于区域的方法-芯片上。IET计算。数字。技术。 2013,7, 264–273. [谷歌学者] [交叉参考]
  34. 卡利姆,M。;Bin Isnin,I.F.《片上网络路由算法标准综述》。高级智能。系统。计算。 2021,1188, 455–466. [谷歌学者] [交叉参考]
  35. 马丁内斯,C。;瓦列霍,E。;贝维迪,R。;伊祖,C。;Moreto,M.密集高斯网络:适用于片上多处理器的拓扑。国际J并行程序。 2006,34, 193–211. [谷歌学者] [交叉参考] [绿色版本]
  36. Benchehida,C。;Benhaoua,M.K。;扎哈夫阁下。;Lipari,G.芯片网络中实时通信的分析和仿真工具。ACM SIGBED版本。 2020,17,5-11。[谷歌学者] [交叉参考]
  37. Al-Hchaimi,A.A.J。;W.N.弗莱伊赫。;哈希姆,F。;Rusli,M.S。;Rokhani,F.Z.《3D网络-片上模拟器和插件评论》。2021年11月24日至26日在马来西亚槟城举行的微电子和电子学研究生亚太会议记录;第17-20页。[谷歌学者] [交叉参考]
  38. 卡塔尼亚,V。;米诺,A。;蒙特利昂,S。;Palesi,M。;Patti,D.Noxim:一个开放、可扩展和循环精确的片上网络模拟器。2015年7月27日至29日,加拿大安大略省多伦多市,《特定应用系统、架构和处理器国际会议论文集》;第162-163页。[谷歌学者] [交叉参考]
  39. 卡塔尼亚,V。;米诺,A。;蒙特利昂,S。;Palesi,M。;Patti,D.无线网络芯片架构中的节能收发器。2016年3月14日至18日在德国德累斯顿举行的2016年欧洲设计、自动化和测试会议记录;第1321-1326页。[谷歌学者] [交叉参考]
  40. 纽西姆。片上网络模拟器。在线提供:https://github.com/Wertual08/newxim(2022年12月10日访问)。
  41. 科洛茨基,I。;Lysenko,O。网络中链路聚合的硬件实现——片上。2011年世界信息和通信技术大会会议记录,2011年世界通信技术大会,2011年12月11日至14日,印度孟买;第1112-1117页。[谷歌学者] [交叉参考]
  42. 董,X。;Wei,L.一种有效的环形网络自适应无死锁路由算法。IEEE传输。平行配送系统。 2012,23,800–808。[谷歌学者] [交叉参考]
  43. Verbeek,F。;Schmaltz,J.虫洞网络中无死锁路由的决策程序。IEEE传输。平行配送系统。 2014,25, 1935–1944. [谷歌学者] [交叉参考]
  44. 梅森,A。;医学工程师内尼。;斯福尔扎,A。;Sterle,C.最小路由成本树问题:最新技术和基于核心节点的启发式算法。软计算。 2019,23, 2947–2957. [谷歌学者] [交叉参考]
  45. Janfaza,V。;Baharlouei,E.NoC中一种新的容错无死锁完全自适应路由。2017年9月29日至10月2日在塞尔维亚诺维萨德EWDTS举行的2017 IEEE东西方设计和测试研讨会会议记录;第1-6页。[谷歌学者] [交叉参考]
  46. Lezhnev,E.V.开发用于片上网络通信子系统HDL建模的自动化系统。2021年9月5日至11日在俄罗斯索契举行的2021年俄罗斯国际自动化会议(RusAutoCon)会议记录;第780-784页。[谷歌学者] [交叉参考]
  47. Kakoulli,E。;Soteriou,V。;Theocharides,T.基于片上网络的多核系统的智能热点预测。IEEE传输。计算-辅助设计。集成。电路系统。 2012,31, 418–431. [谷歌学者] [交叉参考]
  48. 康,Z。;Xiao,X。;李,S。;Wang,L。;Wang,Y.采用液态机的神经形态处理器芯片上网络热点预测。2022年5月27日至6月1日,美国德克萨斯州奥斯汀,2022年IEEE国际电路与系统研讨会(ISCAS)会议记录;第2353-2357页。[谷歌学者] [交叉参考]
  49. Bhaskar,A.V.公司。;Venkatesh,T.G.在多核处理器中的网络芯片性能分析。J.平行分布计算。 2021,147, 196–208. [谷歌学者] [交叉参考]
  50. El-Mesady,A。;哈米德,Y.S。;Shabana,H.关于使用算法方法分解循环图。亚历克斯。工程师J。 2022,61, 8263–8275. [谷歌学者] [交叉参考]
图1。循环拓扑 C类   ( 16 ;   1 ,   5 ) 0 负极 5 负极 10 负极 15 负极 0 , 0 负极 5 负极 6 负极 11 负极 0 等-拓扑中的循环。
图1。循环拓扑 C类   ( 16 ;   1 ,   5 ) 0 负极 5 负极 10 负极 15 负极 0 , 0 负极 5 负极 6 负极 11 负极 0 等-拓扑中的循环。
微机械14 00141 g001
图2。Newxim模拟器的结构。
图2。Newxim模拟器的结构。
微型机14 00141 g002
图3。循环子的能级结构 C类 ( 10 ; 1 ,   4 ) : ()图形方案;(b条)图的层次;(c(c))虚拟通道方案。
图3。循环的层次结构 C类 ( 10 ; 1 ,   4 ) : ()图形方案;(b条)图的层次;(c(c))虚拟通道方案。
微型机14 00141 g003
图4。比较不同的树作为子网以绕过死锁。
图4。比较不同的树作为子网以绕过死锁。
微型机14 00141 g004
图5。使用ASM时虚拟和物理子网吞吐量的比较。
图5。使用ASM时虚拟和物理子网吞吐量的比较。
微型机14 00141 g005
图6。使用RS、ASM、XY的64个节点网络的各种实现的吞吐量图。
图6。使用RS、ASM、XY的64个节点网络的各种实现的吞吐量图。
微型机14 00141 g006
免责声明/出版商注释:所有出版物中包含的声明、意见和数据仅为个人作者和贡献者的声明、观点和数据,而非MDPI和/或编辑的声明、看法和数据。MDPI和/或编辑对内容中提及的任何想法、方法、说明或产品造成的任何人员或财产伤害不承担任何责任。

分享和引用

MDPI和ACS样式

罗曼诺夫,A.Y。;新墨西哥州迈钦。;列日涅夫,E.V。;公元伊万尼科夫。;艾尔·梅萨迪,A。环分裂:芯片上循环网络的无死锁路由算法。微机器 2023,14, 141.https://doi.org/10.3390/mi14010141

AMA风格

Romanov AY、Myachin NM、Lezhnev EV、Ivannikov AD、El-Mesady A。环分割:片上循环网络的无死锁路由算法。微机器. 2023; 14(1):141.https://doi.org/10.3390/mi14010141

芝加哥/图拉宾风格

罗曼诺夫(Romanov)、亚历山大·伊万尼科夫(Aleksandr Y。2023.“环分裂:循环网络的无死锁路由算法——片上”微机器14,编号1:141。https://doi.org/10.3390/m1400141

请注意,从2016年第一期开始,该杂志使用文章编号而不是页码。查看更多详细信息在这里

文章指标

返回页首顶部