{“状态”:“确定”,“消息类型”:“工作”,“信息版本”:“1.0.0”,“邮件”:{“索引”:{-“日期-部分”:[[2024,3,3]],“日期-时间”:“2024-03-03T08:51:28Z”,“时间戳”:1709455888666},“发布者位置”:“美国纽约州纽约市”,“引用-计数”:79,“发布商”:“ACM”,“内容-域”:[“dl.ACM.org”],“交叉标记-严格离子“:true},”短容器时间“:[],“published-print”:{“date-parts”:[[2021,10,18]]},“DOI”:“10.1145\/3466752.3480079”,“type”:“proceedings-article”,“created”:{“date-ports”:[2021,10,17]],“date-time”:“2021-10-17T19:16:55Z”,“timestamp”:1634498215000},《update-policy》:“http://\/dx.DOI.org\/10.1145\/crossmark-policy”,“source”“:”Crossref“,”is-referenced-by-count“:5,”title“用法:[“UC-Check:表征x86处理器中的微操作缓存及其在安全和性能方面的意义”],“前缀”:“10.1145”,“作者”:[{“given”:“Joonsung”,“family”:“Kim”,“sequence”:“first”,“affiliation”:[}“name”:“韩国首尔国立大学”}]}:[{“name”:“大韩民国首尔国立大学”}]},{“given”:“Hunjun”,“family”:“Lee”,“sequence”:“additional”,“affiliation”:[{“name”:“大韩民国汉城国立大学”{]}:“Kim”,“sequence”:“additional”,“affiliation”:[{“name”:“大韩民国首尔国立大学”}]}],“member”:“320”,“published-on-line”:{“date-parts”:[2021,10,17]]},“reference”:[}“key”:“e_1_3_2_1_1_1_1”,“first-page”:“2021”,“article-title”:“SPECjvm2008。可在线访问http://www.spec.org“,”year“:”2008“,”unstructured“:”2008。SPECjvm2008。在线获取,网址:http://\/www.spec.org。访问时间:2021年至2004年。2008年。SPECjvm2008。在线访问:http://www.spec.org.Accessed:2021-04.“,”journal-title“:”Accessed“},{”key“:”e_1_3_2_1_2_1“,”first page“:“2021”,“article-title”:“The GNU Privacy Guard。在线访问:http:\/\/www.gnupg.org”,“year“:”2021“,”unstructured“:”2021 . GNU隐私保护。网址:http://www.gnupg.org。访问时间:2021-2004。2021.GNU隐私保护。网址:http://www.gnupg.org.访问时间:2021-04.“,”journal-title“:”Accessed“},”key“:”e_1_3_2_1_3_1“,”doi-asserted-by“:”publisher“,“doi”:“10.1109\/ISPASS.2014.684475”},“key”:“e_1_ 3_2_1_4_1”,“doi-assert-by”:“publisher”,”doi“:”10.1145\/3297858.3304062“}”,_5_1“,”doi-asserted-by“:”publisher“,“doi”:“10.1109\/ISPASS48437.2020.00014“},{“key”:“e_1_3_2_1_6_1”,“doi-asserted-by”:“publisher”,”doi“:”10.1145\/1314466.1314469“}”,{”key“:”e_1_ 3_2_1 _7_1“,”doi-assert-by“:”crossref“,“unstructured”:“Sam Ainsworth.2021。GhostMinion:一个用于减少Spectre的严格有序缓存系统。arXiv预打印arXiv:2104.05532(2021)。萨姆·安斯沃思。2021.GhostMinion:一个用于减少Spectre的严格有序缓存系统。arXiv预打印arXiv:2104.05532(2021)。“,”DOI“:”10.1145\/3466752.3480074“},{”key“:”e_1_3_2_1_8_1“,”unstructured“:”AMD.2018。AMD处理器上管理规范的软件技术。https:\/\/developer.AMD.com\/wp-content\/resources\/MANAGING-SPECULATION-ON-AMD-PROCESSORS.pdf。AMD公司。2018.AMD处理器上管理推测的软件技术。https:\/\/developer.AMD.com/wp-content\/resources\/MANAGING-Speculationon-AMD-PROCESSORS.pdf。“},{”key“:”e_1_3_2_1_9_1“,”doi-asserted-by“:”crossref“,“unstructured”:“Rajeev Balasubramonian Andrew \u00a0B Kahng Naveen Muralimanohar Ali Shafiee and Vaishnav Srinivas.2017。CACTI 7:创新非芯片存储器互连探索的新工具。ACM架构与代码优化汇刊(TACO\u201917)14 2(2017)1\u201325。Rajeev Balasubramonian Andrew \u00a0B Kahng Naveen Muralimanohar Ali Shafiee和Vaishnav Srinivas。2017.CACTI 7:创新非芯片存储器互连探索的新工具。ACM架构与代码优化汇刊(TACO\u201917)14 2(2017)1\u201325.“,”DOI“:”10.1145\/3085572“},{“key”:“e_1_3_2_10_1”,“DOI-asserted-by”:“publisher”,”DOI:“10.1145\/3445814.3446708”},“key“:”e_1_ 3_2_11_1“,”DOI-assert-by“:”publisher“,“DOI”:”10.1109\/HPCA.2013.6522302“}”,{“key”:“e_1_3_2_12_1”,“DOI-asserted-by”:“publisher“,”DOI“:”10.1145\/2699682“},{“key”:“e_1_3_2_13_1”,“volume-title”:“欧洲会议展览自动化与测试(DATE)”,“author”:“Brandalero Marcelo”,“year”:“2017”,“unstructured”:“马塞洛·布兰达洛和安东尼奥·卡洛斯·贝克。2017 . 一种高能效重用x86指令流解码和调度的机制。2017年欧洲会议展览设计、自动化和测试(DATE)。IEEE,1468\u20131473。马塞洛·布兰达洛和安东尼奥·卡洛斯·贝克。2017.x86指令流解码和调度的节能重用机制。2017年欧洲会议展览设计、自动化和测试(DATE)。IEEE,1468\u20131473.“},{“key”:“e_1_3_2_14_1”,“volume-title”:“RFC:推测性负载强化(Spectre变量#1缓解)。https:\/\/lists.llvm.org\/pipermail\/llvm-dev\/2018-March\/122085.html”,“author”:“Carruth Chandler”,“year”:“2018”,“unstructured”:“钱德勒·卡鲁斯。2018 . RFC:推测性负载强化(Spectre变体#1缓解)。https:\/\/lists.llvm.org\/pipermail\/llvm-dev\/2018-3月\/122085.html Chandler-Carruth。2018年,RFC:推测性负载强化(Spectre变体#1缓解)。https://lists.llvm.org/pipermail\/llvm-dev\/2018 March\/122085.html“},{“key”:“e_1_3_2_1_15_1”,“doi断言者”:“publisher”,“doi”:“10.1109\/HOTCHIPS.2016.7936244”},{“key”:“e_1_3_2_16_1”,“doi断言者”:“publisher”,“doi”:“10.1109\/HOTCHIPS.201.7477490”},{“key”:“e_1_3_2_1_17_1”,“doi断言者”:“publisher”,“doi”:“10.1109\/MM.2017.38”},{”key“:”e_1_3_2_18_1“,”doi-asserted-by“:”publisher“,“doi”:“10.1109\/HPCA.2018.00019”},{“key”:“e_1_ 3_2_1_19_1”,“doi-assert-by”:“publisher”,”doi“:”10.1109\/MICRO.2016.7783743“},”key:“e_ 1_3_2 _1_20_1”{“key”:“e_1_3_2_1_21_1”,“doi-asserted-by”:“publisher”,“doi”:“10.1109\/MM.2008.44”},{“key“:”e_1_3_2_1_22_1“,”doi-asserted-by“:”publisher“,”doi“:”10.2200\/S00309ED1V01Y201011CAC012“},{“key”:“e_1_a_2_1_23_1”,“volume-title”:“第27届{USENIX}安全研讨会({USENIX}Security 18)。955\u2013972.“,“作者”:“Gras Ben”,“非结构化”:“Ben Gras,Kaveh Razavi,Herbert Bos,and Cristiano Giuffrida。2018.翻译泄漏缓冲区:利用{TLB}攻击击败缓存侧通道保护。第27届{USENIX}安全研讨会({USENIX}安全18)。955\u2013972。Ben Gras、Kaveh Razavi、Herbert Bos和Cristiano Giuffrida,2018年。翻译泄漏缓冲区:用{TLB}攻击击败缓存侧通道保护。第27届{USENIX}安全研讨会({USENIX}安全18)。955\u2013972.“},{”key“:”e_1_3_2_1_24_1“,”doi-asserted-by“:”publisher“,“doi”:“10.1109\/SP.2011.22”},“key”:“e_1_a_2_1_25_1”,“doi-assert-by”:“publisher”,”doi“:”10.1145\/114027.11404“}、{”key“:“e_ 1_3_2 _1_27_1”、“doi-aserted-by”“:”publisher“,”doi:“10.1109\/MM。2014.10“},{”key“:”e_1_3_2_1_28_1“,”doi-asserted-by“:”publisher“,“doi”:“10.1109\/RTAS.2015.7108453“},{”key“:”e_1_3_2_1_29_1“,”doi-asserted-by“:”publisher“,“doi”:“10.1109\/HPCA.2016.7446102”},“key”:“e_1_ 3_2_1_30_1”,“unstructured”:“Mikael Hirki Zhong Ou Kashif\u00a0Nizam Khan Jukka\u00a 0K Nurminen和Tapio Niemi.2016。x86-64指令解码器功耗的实证研究。在{USENIX}可持续数据中心冷主题研讨会(CoolDC 16)中。Mikael Hirki Zhonghong Ou Kashif\u00a0Nizam Khan Jukka\u00a 0K Nurminen和Tapio Niemi。2016年,对x86-64指令解码器的功耗进行了实证研究。在{USENIX}可持续数据中心酷炫主题研讨会(CoolDC 16)上。“},{”key“:”e_1_3_2_1_31_1“,”volume-title“:”第十二届高性能计算机体系结构国际研讨会“,”author“:”Hu Shiliang“,”year“:”2006“,”unstructured“:”胡士良(Shiliang Hu)、金依云(Ilyun Kim)、米科·卢加·李帕斯蒂(Mikko \u00a0 H Lipasti)和詹姆斯·卢加·史密斯(James \u00 a0 E Smith)。2006 . 一种实现高效超标量CISC处理器的方法。在第十二届高性能计算机体系结构国际研讨会上,2006年。IEEE,41\u201352。胡士良(Shiliang Hu)、金伊伦(Ilyun Kim)、米科·利帕斯蒂(Mikko \u00a0H Lipasti)和詹姆斯·史密斯(James \u0a0E Smith)。2006.一种实现高效超标量CISC处理器的方法。第十二届高性能计算机体系结构国际研讨会,2006年。IEEE,41\u201352.“},{”key“:”e_1_3_2_1_32_1“,”unstructured“:”Intel.2018。英特尔推测执行端通道分析。https:\/\/newsroom.intel.com/wp-content\/uploads\/sites\/11\/2018\/01\/intel-Analysis-of-Speculative-Execution-Side-Channels.pdf。英特尔。2018年,英特尔对投机执行渠道的分析。https:\/\/newsroom.intel.com/wp-content\/uploads\/sites\/11\/2018\/01\/intel-Analysis-of-Speculative-Execution-Side-Channels.pdf。“},{”key“:”e_1_3_2_1_33_1“,”volume-title“:”Retpoline:A Branch Target Injection Mitigationn.https:\/\/software.intel.com/security-software-guidance\/api-app\/sites\/default\/files\/Repoline-A-Branch-Target-Injection-Mission-Prigation.pdf“,“年”:“2018”,“非结构化”:“英特尔。2018 . 雷托品:一种分支靶向注射缓解。https:\/\/software.intel.com//security-software-guidance\/api-app\/sites\/default\/files\/Retpoline-A-Branch-Target-Injection-Mission.pdf英特尔。2018.Retpoline:分支靶向注射缓解。https:\/\/software.intel.com//security-software-guidance\/api-app\/sites\/default\/files\/Retpoline-A-Branch-Target-Injection-Missiation.pdf“},{”key“:”e_1_3_2_1_34_1“,”unstructured“:”intel.2018。推测性执行侧通道缓解措施。https:\/\/software.intel.com//security-software-guidance\/api-app\/sites\/default\/files\/336996-Speculative-Execution-Side-Channel-Mitiations.pdf。英特尔。2018年,推测性执行渠道缓解措施。https:\/\/software.intel.com//security-software-guidance\/api-app\/sites\/default\/files\/336996-Speculative-Execution-Side-Channel-Mitiations.pdf。“},{”key“:”e_1_3_2_1_35_1“,”doi-asserted-by“:”publisher“,“doi”:“10.1109\/SP.2015.42”},“key”:“e_1_a_2_1_36_1”,“doi-assert-by”:“publisher”,”doi“:”10.1109\/DSD.2015.56“}”,{“:”e_1_3_2_1_38_1“,”doi-asserted-by“:”publisher“,“doi”:“10.1145\/2989081.2989114”},{“key”:“e_1_3_2_1_39_1“,“doi-asserted-by”:“publisher”,“doi”:“10.1145\/331681.3317903”},{“key”:“e_1_ 3_2_1_40_1”,”doi-assert-by“:”publisher“,”doi“:”10.1145\/3440022“},”{“密钥”:“e_1_3_2_1_41_1”、“doi-sserted-by“”:“publisher”,“doi”:”10.1109\/LCA.2017.2779122“}、{“key”:“e_1_3”_2_1_42_1“,”doi-asserted-by“:”publisher“,“doi”:“10.1109\/MICRO.2018.0044”},{“key”:“e_1_3_2_1_43_1“,”volume-title“:”会议记录。第十三届并行体系结构和编译技术国际会议,2004年。2004年协议。IEEE,111\u2013122“,“作者”:“Kim Seongbeom”,“年份”:“2004”,“非结构化”:“Seongbeom-Kim,Dhruba Chandra,and Yan Solihin。2004 . 芯片多处理器体系结构中的公平缓存共享和分区。诉讼中。第十三届并行体系结构和编译技术国际会议,2004年。2004年协议。IEEE,111\u2013122。Seongbeom Kim、Dhruba Chandra和Yan Solihin。2004.芯片多处理器体系结构中的公平缓存共享和分区。诉讼中。第十三届并行体系结构和编译技术国际会议,2004年。2004年协议。IEEE,111\u2013122.“},{”key“:”e_1_3_2_1_44_1“,”doi-asserted-by“:”publisher“,“doi”:“10.1109\/MICRO.2018.0083”},“key”:“e_1_s2_1_45_1”,“doi-assert-by”:“publisher”,”doi“:”10.1109\/SP.2019.00002“}”,{OT}18)。”,“作者”:“Koruyeh Esmaeil\u00a0Mohammadian”,“非结构化”:“Esmaeil\u00a0Mohammadian Koruyeh,Khaled \u00a0 N Khasawneh,Chengyu Song和Nael Abu Ghazaleh。2018年Spectre回归!使用返回堆栈缓冲区的推测攻击。第十二届进攻性技术研讨会({WOOT}18)。Esmaeil \u00a0Mohammadian Koruyeh、Khaled \u00a 0N Khasawneh、Chengyu Song和Nael Abu-Ghazaleh。2018年Spectre回归!使用返回堆栈缓冲区的推测攻击。第十二届进攻性技术研讨会({WOOT}18)。“},{”key“:”e_1_3_2_1_47_1“,”doi-asserted-by“:”publisher“,“doi”:“10.1109\/MICRO50266.2020.00025”},“{”密钥“:”e_1_3_2_1_48_1“、”卷标签“:”第26届{USENIX}安全研讨会({USENIX}安全17)。557\u2013574.“,”author“:”Lee Sangho“,”unstructured“:”Sangho Lee、Ming-Wei Shih、Prasun Gera、Taesoo Kim、Hyeson Kim和Marcus Peinado。2017.利用分支阴影推断{SGX}包体内的细粒度控制流。在第26届{USENIX}安全研讨会上({USENIX}安全17)。557\u2013574。Sangho Lee、Ming-Wei Shih、Prasun Gera、Taesoo Kim、Hyeson Kim和Marcus Peinado。2017.利用分支阴影推断{SGX}包体内的细粒度控制流。在第26届{USENIX}安全研讨会上({USENIX}安全17)。557\u2013574.“},{”key“:”e_1_3_2_1_49_1“,”doi-asserted-by“:”publisher“,“doi”:“10.1109\/MM.2016.85”},“key”:“e_1_3_2_1_50_1”,“doi-assert-by”:“publisher”,”doi“:”10.1109\/SP.2015.43。密码学中微体系结构侧通道漏洞攻击和防御的调查。arXiv预打印arXiv:2103.14244(2021)。小轩楼天威张俊江、张银倩。2021.密码学中微体系结构侧通道漏洞攻击和防御的调查。arXiv预打印arXiv:2103.14244(2021)。“},{”key“:”e_1_3_2_1_52_1“,”doi-asserted-by“:”publisher“,“doi”:“10.1145\/3243734.3243761”},“key”:“e_1_a_2_1_53_1”,“doi-assert-by”:“publisher”,”doi“:”10.1007\/978-3-319-26362-5_3“}”,{“key“:”e_3_2_54_1“”,“unstructured”:“John\u00a0P Mechalas.2014。英特尔数字随机数生成器(DRNG)软件实施指南。https:\/\/software.intel.com/content\/www\/us\/en\/develove\/articles \/intel-digital-random-number-generator-drng-software-implementation-guide.html John\u00a0P Mechalas。2014.英特尔数字随机数生成器(DRNG)软件实施指南。https:\/\/software.intel.com/content\/www\/us\/en\/develove\/articles \/intel-digital-random-number-generator-drng-software-implementation-guide.html“},{“key”:“e_1_3_2_1_55_1”,“volume-title”:“PerSpectron:使用感知器检测微结构攻击的不变足迹。2020年,第53届IEEE\/ACM国际微体系结构研讨会(MICRO)。IEEE,1124\u20131137“,“作者”:“Mirbagher-Ajorpaz Samira”,“年份”:“2020年”,“非结构化”:“Samira Mirbager-Ajorpz,Gilles Pokam,Esmaeil Mohammadian-Koruyeh,Elba Garza,Nael Abu-Ghazaleh,and Daniel \u00a0 A Jim\u00e9nez。2020 . PerSpectron:使用感知器检测微结构攻击的不变足迹。2020年,第53届IEEE\/ACM国际微体系结构研讨会(MICRO)。IEEE,1124\u20131137。Samira Mirbagher Ajorpaz、Gilles Pokam、Esmaeil Mohammadian Koruyeh、Elba Garza、Nael Abu Ghazaleh和Daniel Jim。2020年,PerSpectron:使用感知器检测微结构攻击的不变足迹。2020年,第53届IEEE\/ACM国际微体系结构研讨会(MICRO)。IEEE,1124\u20131137.“},{”key“:”e_1_3_2_1_56_1“,”doi-asserted-by“:”publisher“,“doi”:“10.1145\/216633.216677”},“key”:“e_1_m2_1_57_1”,“doi-assert-by”:“publisher”,”doi“:”10.1145\/2155620.2155664“}”,{145\/2810103.2813708“},{“键”:“e_1_3_2_1_59_1”,“卷时间”:“RSA会议。Springer,1\u201320”,“作者”:“Osvik Dag\u00a0Arne”,“年份”:“2006”,“非结构化”:“Dag\u00a0Arne Osvik,Adi Shamir和Eran Tromer。2006 . 缓存攻击与对策:AES案例。In Cryptographers\u2019在RSA会议上跟踪。施普林格,2013年1月20日。Dag\u00a0Arne Osvik、Adi Shamir和Eran Tromer。2006.缓存攻击和对策:AES案例。In Cryptographers\u2019在RSA会议上跟踪。Springer,1\u201320.“},{”key“:”e_1_3_2_1_60_1“,”非结构化“:”技术\u00a0Infrastructure Paul\u00a 0Turner高级职员\u00o0Engineer.[n.d.]Retpoline:一种防止分支靶向注射的软件结构。https:\/\/support.google.com/faqs\/answer\/7625886技术\u00a0Infrastructure Paul\u00o0Turner高级职员\u00a工程师。[未注明日期]。雷托品:一种防止分支靶向注射的软件结构。https:\/\/support.google.com/faqs\/answer\/7625886“},{“key”:“e_1_3_2_1_61_1”,“unstructured”:“Colin Percival.2005。缓存丢失是为了乐趣和利润。科林·珀西瓦尔。2005.为了乐趣和利润而丢失缓存。”},{“密钥”:“e_1_3_2_1_62_1”,“卷标题”:“第25届{USENIX}安全研讨会({USENIX}安全16)。565\u2013581.“,“作者”:“Pessl Peter”,“非结构化”:“Peter Pessl,Daniel Gruss,Cl\u00e9mentine Maurice,Michael Schwarz,and Stefan Mangard。2016.{DRAMA}:利用{DRAM}寻址进行跨cpu攻击。在第25届{USENIX}安全研讨会上({USENIX}安全16)。565\u2013581。彼得·佩斯、丹尼尔·格鲁斯、科伦特·莫里斯、迈克尔·施瓦兹和斯特凡·曼加德。2016.{DRAMA}:利用{DRAM}寻址进行跨cpu攻击。在第25届{USENIX}安全研讨会上({USENIX}安全16)。565\u2013581.“},{”key“:”e_1_3_2_1_63_1“,”doi-asserted-by“:”publisher“,“doi”:“10.1109\/MICRO.2018.0068”},“key”:“e_1_a_2_1_64_1”,“doi-assert-by”:“publisher”,”doi“:”10.1145\/3307650.332246“}”,{/MICRO.2006.49“},{”key“:”e_1_3_2_1_66_1“,”doi-asserted-by“:”publisher“,“doi”:“10.1109\/ISCA52012.2021.00036“},{“key”:“e_1_3_2_1_67_1”,“doi-asserted-by”:“publisher”,”doi“:”10.1109\/TVLSI.2003.814327“}”,{”key“:”e_1_ 3_2_1 _68_1“,”doi-assert-by“:”publisher“,“doi”:“10.1109\/MSE.2007.44”},“key“10.1109\/MM.2020.2974217“},{”key“:”e_1_3_2_1_70_1“,”首页“:”606“,”article-title“:”操作缓存“,”卷“:”10“,”作者“:”Suggs N“,”年份“:”2020“,”非结构化“:”David\u00a0 N Suggs。2020 . 操作缓存。美国专利10,606,599。大卫·萨格斯。2020年。操作缓存。美国专利10606599.“,”journal-title“:”US Patent“},{”key“:”e_1_3_2_1_71_1“,”doi-asserted-by“:”publisher“,“doi”:“10.1145\/3065913.3065918”},“key”:“e_1_3_2_1_72_1”,“unstructured”:“Guanhua Wang Sudipta Chattopadhyayay Ivan Gotovchits Tulika Mitra and Abhik Roychoudhury.2018。oo7:通过二进制分析抵御幽灵攻击的低开销防御。arXiv预印arXiv:1807.05843(2018)。关华王素提帕Chattopadhyay Ivan Gotovchits Tulika Mitra和Abhik Roychoudhury。2018.oo7:通过二进制分析对幽灵攻击进行低开销防御。arXiv预印arXiv:1807.05843(2018)。“},{“key”:“e_1_3_2_1_73_1”,“doi由”:“publisher”断言,“doi”:“10.1109\/HPCA.2017.65”},{“key”:“e_1_3_2_1_74_1”,“doi由”:“publisher”断言,“doi”:“10.1145\/1250662.1250723”},{“key”:“e_1_3_2_1_75_1”,“doi由”:“publisher”断言,“doi”:“10.1109\/MICRO.201.80042”},{“key”:“e_1_3_2_ 1_76_1“,”doi由“:”publisher“断言,”doi“:”10.1145\/3079856.3080222“},{“key”:“e_1_3_2_1_77_1”,“volume-title”:“L3缓存侧通道攻击。第23届{USENIX}安全研讨会({USENIX}Security 14)。719\u2013732.“,”author“:”Yarom Yuval“,”unstructured“:”Yuval-Yarom and Katrina Falkner“。2014.FLUSH+RELOAD:高分辨率、低噪声、三级缓存并行通道攻击。在第23届{USENIX}安全研讨会({USENIX}安全14)上。719\u2013732。Yuval Yarom和Katrina Falkner。2014.FLUSH+RELOAD:高分辨率、低噪声、三级缓存并行通道攻击。在第23届{USENIX}安全研讨会({USENIX}安全14)上。719\u2013732.“},{”key“:”e_1_3_2_1_78_1“,”volume-title“:”映射Intel Last-Level Cache.IACR Cryptol.ePrint Arch.2015“,”author“:”Yarom Yuval“,“year”:“2015”,“unstructured”:“Yuval-Yarom,Qian Ge,Fangfei Liu,Ruby\u00a0 B Lee,and Gernot Heiser.2015。映射Intel Last-Level Cache。IACR加密。电子打印架构。2015 ( 2015 ), 905. Yuval Yarom、Qian Ge、Fangfei Liu、Ruby \u00a0B Lee和Gernot Heiser。2015.映射Intel Last-Level Cache。IACR加密。电子打印架构。2015(2015),905.“},{”key“:”e_1_3_2_1_79_1“,”doi-asserted-by“:”publisher“,“doi”:“10.1145\/2628071.2628104”},“key”:“e_1_3_2_1_80_1”,“doi-assert-by”:“publisher”,”doi“:”10.1109\/RTAS.2014.6925999“}],“event”:{”name“:”MICRO'21:“第54届IEEE\/ACM国际微体系结构研讨会”,“location”:“Virtual”event Greece“,”缩写词“:”MICRO'21”,“赞助商”:[“SIGMICRO ACM微体系结构研究与处理特别兴趣小组”]},“容器标题”:[“MICRO-54:54第54届IEEE\/ACM微体系结构国际年会”],“原标题”:[],“链接”:[{“URL”:“https:\/\/dl.ACM.org/doi\/pdf\/10.1145\/366752.3480079”,“内容类型”:“未指定”,“内容版本”:“vor“,”intended-application“:”similarity-checking“}],”deposed“:{”date-parts“:[[2023,4,18]],”date-time“:”2023-04-18T00:53:59Z“,”timestamp“:1681779239000},”score“:1,”resource“:”{“primary”:{“URL”:“https:\/\/dl.acm.org\/doi\/10.1145\/3466752.3480079”},“subtitle”:[],“shorttitle”:[],“issued”:{“日期部分”:[[2021,10,17]]},“引用计数”:79,“alternative-id“:[”10.1145\/3466752.3480079“,”10.1145\/3466752“],”URL“:”http://\/dx.doi.org\/10.1145\/3467752.34800079“,“relation”:{},“subject”:[],“published”:{“date-parts”:[[2021,10,17]]},”assertion“:[{”value“:”2021-10-17“,”order“:2,”name“:”published“,”label“:”published“,”group“:{”name“:”publication_history“,”label“:”publication history“}}]}}