×

流水线硬实时系统的峰值温度分析和优化。 (英语) Zbl 07775675号

摘要:本研究旨在最小化流水线多核系统的峰值温度,同时提供硬实时保证。采用定期热管理(PTM),通过定期将每个流水线级切换到低功率模式来控制温度。反向使用实时微积分理论中的Pay-Burst-Only-Once原则,将实时保证转化为适用于所有阶段的PTM方案的约束。我们系统地研究了PTM下的峰值温度,并提出了两种不同精度和速度的计算算法。提出了一种基于贪婪原理的启发式方法来解决峰值温度优化问题。实验是在带有物理温度传感器的英特尔处理器上进行的。结果表明,我们的方法比亚截止时间分割方法更有效地优化了峰值温度。对包含更多阶段的场景的仿真表明,所提出的算法在阶段数方面是可扩展的。

MSC公司:

80-XX岁 经典热力学,传热
68倍 计算机科学

软件:

RTC工具箱
PDF格式BibTeX公司 XML格式引用
全文: DOI程序

参考文献:

[1] Chantem,T。;胡晓生。;Dick,R.P.,mpsocs上硬实时应用的温度感知调度和分配,IEEETransVLSI,19,10,1884-1897(2011)
[2] 考克斯,M。;辛格,A.K。;库马尔,A。;Corporal,H.,三维多处理器系统上流媒体应用的Thermal-ware映射,(ESTIMedia,IEEE第11届研讨会,2013年),IEEE,11-20
[3] M.Mohaqeqi,M.Kargahi,K.Fouladi,多核实时系统的随机热控制,收录于:2016年第24届并行、分布式和基于网络的处理(PDP)欧洲微机国际会议,IEEE,2016年,第208-215页。
[4] 穆拉斯,F。;Atienza,D。;Acquaviva,A。;卡特,S。;贝尼尼,L。;De Micheli,G.,多处理器流计算平台的热平衡策略,IEEE Trans。计算机辅助设计集成电路系统。,28, 12, 1870-1882 (2009)
[5] Yun,B。;Shin,K.G。;Wang,S.,在多核芯片上使用作业迁移和功率控制的关键应用程序的Thermal-ware调度,收录于:Trust,(计算和通信中的安全和隐私(TrustCom),IEEE第十届国际会议(2011年),IEEE1083-1090
[6] P.M.Hettiarachchi,N.Fisher,L.Y.Wang,《实现多核硬实时系统的热弹性》,收录于:ECRTS,IEEE,2013年,第37-46页。
[7] 陈,G。;黄,K。;巴克尔,C。;Knoll,A.,在硬实时流水线多处理器系统中应用一次性付费原则进行定期电源管理,ACM Trans。设计自动化电子系统(TODAES),20,2,26(2015)
[8] N.Bansal,K.Pruhs,管理温度的速度缩放,见:STACS,施普林格,2005年,第460-471页·Zbl 1118.68769号
[9] Lee,J。;Kim,N.S.,利用dvfs和pcpg分析功率和热约束多核处理器的潜在吞吐量改进,IEEE Trans。超大规模集成(VLSI)系统,20,2,225-235(2012)
[10] A.Das,A.Kumar,B.Veeravalli,多媒体mpsoc上吞吐量受限应用映射的温度感知能量可靠性权衡,摘自:欧洲设计、自动化和测试会议论文集,欧洲设计与自动化协会,2014年,第102页。
[11] 谢赫,H.F。;艾哈迈德。;Fan,D.,多核处理器上并行任务的性能-能量-温度优化调度进化技术,Trans。并行分布式系统。,27, 3, 668-681 (2016)
[12] Liao,C.-H。;Wen,C.H.-P.,用于吞吐量和能量优化的三维多核处理器上的热约束任务调度,IEEE Trans。超大规模集成(VLSI)系统,23,11,2719-2723(2015)
[13] Ukhov,I。;Bao,M。;Eles,P.公司。;Peng,Z.,嵌入式多处理器系统的稳态动态温度分析和可靠性优化,(第49届年度设计自动化会议论文集(2012),ACM),197-204
[14] J.-Y.Le Boudec,P.Thiran,《网络演算:互联网确定性排队系统理论》,第2050卷,施普林格科学与商业媒体,2001年·兹比尔0974.90003
[15] F.M.M.ul Islam、M.Lin、L.T.Yang、K.-K.R.Choo,《使用机器学习的多核实时系统的任务感知混合dvf》,《信息科学》433(2018)315-332。
[16] Cheng,L。;黄,K。;陈,G。;胡,B。;Knoll,A.,《硬实时系统的定期热管理》,(第十届IEEE工业嵌入式系统(SIES)国际研讨会(2015),IEEE),1-10
[17] Cheng,L。;黄,K。;陈,G。;胡,B。;江,Z。;Knoll,A.,《在硬实时系统上应用定期热管理以最小化峰值温度》,J.Circuits Syst。计算机,27,13,1850208(2018)
[18] L.Cheng,K.Huang,G.Chen,B.Hu,A.Knoll,最小化流水线硬实时系统的峰值温度,收录于:2016年欧洲会议展览设计、自动化和测试(DATE),IEEE,2016年,第1090-1095页。
[19] L.Cheng,硬实时系统的系统级定期热管理,慕尼黑理工大学博士论文(2018年)。
[20] 陈,G。;黄,K。;Knoll,A.,《具有最佳dvfs和dpm组合的实时多处理器系统芯片上的能量优化》,ACM Trans。嵌入式计算系统(TECS),13,3s,1-21(2014)
[21] 穆罕默德,M.S。;Al-Dhamari,A.K。;Ab Rahman,A.A.-H。;北卡罗来纳州帕拉曼。;Al-Kubati,A.A。;Marsono,M.,暗硅多核系统芯片上的温度软件任务调度,(2019年第八届建模仿真与应用优化国际会议(ICMSAO)(2019),IEEE),1-5
[22] K.Lampka,B.Forsberg,《保持缓慢和及时:具有硬实时工作负载的在线dvf》,载于:2016年欧洲会议展览设计、自动化和测试(DATE),IEEE,2016年,第385-390页。
[23] 李,B。;王,X。;辛格,A.K。;Mak,T.,《关于3d noc系统中的运行时通信和热感知应用程序映射和碎片整理》,IEEE Trans。并行分布式系统。,30, 12, 2775-2789 (2019)
[24] Isuwa,S。;戴伊·S。;辛格,A.K。;McDonald-Maier,K.,Teem:cpu-gpu mpsocs的在线热效率和能效管理,(2019年欧洲设计、自动化和测试会议及展览(2019),IEEE),438-443
[25] 盖勒特,A。;Florea,A。;菲奥雷,美国。;Zanetti,P。;Vintan,L.,通过模糊知识表示实现cpu的性能和能量优化,信息科学。,476, 375-391 (2019)
[26] Goubaa,A。;M.Khalgui。;李,Z。;弗雷,G。;Zhou,M.,在多核系统上调度具有时间、能量收集和优先级约束的周期和非周期任务,信息科学。,520, 86-104 (2020) ·兹比尔1457.68026
[27] Heidari,A.A。;Abbaspour,R.A。;Chen,H.,Efficient增强了用于全局搜索和内核极限学习机器训练的灰狼优化器,Appl。软计算,81,第105521条pp.(2019)
[28] X·梁。;蔡,Z。;王,M。;X.赵。;陈,H。;Li,C.,解决全局优化问题的混沌对立正弦方法,《工程计算机》,1-17(2020)
[29] Heidari,A.A。;米尔贾利利,S。;Faris,H。;一、阿尔贾拉。;Mafarja,M。;Chen,H.,Harris hawks优化:算法和应用,未来一代计算机系统。,97, 849-872 (2019)
[30] 李,S。;陈,H。;王,M。;Heidari,A.A。;Mirjalili,S.,《泥模算法:随机优化的新方法》,《下一代计算机系统》。,111, 300-323 (2020)
[31] 陈,H。;张,Q。;罗,J。;Xu,Y。;Zhang,X.,一种增强的细菌觅食优化及其在训练内核极限学习机中的应用,Appl。软计算,86,第105884条pp.(2020)
[32] Abed-alguni,B.H.,基于岛屿的杜鹃搜索,具有高度破坏性多项式突变,国际期刊Artif。智力。,17, 1, 57-82 (2019)
[33] 普雷卡普,R.-E。;大卫·R·C。;罗马共和国。;Petriu,E.M。;Szedlak-Stinean,A.-I.,基于滑模算法的伺服系统成本效益模糊控制器的调谐,国际计算机杂志。智力。系统。,14, 1, 1042-1052 (2021)
[34] 罗马共和国。;普雷卡普,R.-E。;David,R.-C.,双旋翼气动系统的二阶智能比例积分模糊控制,计算机科学。,139, 372-380 (2018)
[35] 萨帕塔,H。;佩罗佐,N。;安古洛,W。;Contreras,J.,“三维结构集体构建的混合群算法”,国际期刊Artif。智力。,18,1,1-18(2020)
[36] 张,X。;胡,W。;谢,N。;Bao,H。;Maybank,S.,《低帧速率视频的鲁棒跟踪系统》,国际计算机杂志。愿景,115,3279-304(2015)
[37] 张,X。;胡,W。;曲,W。;Maybank,S.,《通过基于物种的粒子群优化进行多目标跟踪》,IEEE Trans。电路系统。视频技术。,20, 11, 1590-1602 (2010)
[38] Thiele,L。;斯科尔,L。;巴西瓦罗夫,I。;Yang,H.,多处理器系统芯片平台中时间和温度的可预测性,ACM TECS,12,1s,48(2013)
[39] Huang,W。;戈什,S。;Velusamy,S。;桑卡拉纳拉亚南,K。;Skadron,K。;Stan,M.R.,《热点:早期超大规模集成电路设计的紧凑热建模方法》,IEEETransVLSI,14,5,501-513(2006)
[40] Guimaraes,D.A.,《数字传输:VisSim/Comm的模拟辅助介绍》(2010),施普林格科学与商业媒体
[41] 张,X。;王,D。;Zhou,Z。;Ma,Y.,带校正和对齐的稳健低阶张量恢复,IEEE Trans。模式分析。机器。智力。,43, 1, 238-255 (2019)
[42] 施密特,M。;Berg,E。;弗里德兰德,M。;Murphy,K.,《用简单约束优化代价高昂的函数:有限内存投影准牛顿算法》,Artif。智力。统计,PMLR,456-463(2009)
[43] E.Wandeler,L.Thiele,实时微积分(RTC)工具箱,网址:网址:http://www.mpa.ethz.ch/Rctoolbox (2006).
[44] Cheng,L。;陈,G。;李,J。;赵,Z。;Knoll,A。;Huang,K.,Mcftp:《在真正的多核处理器上快速热管理原型的框架》,《可持续计算:信息》。系统。,22, 191-205 (2019)
[45] I.Corporation,用于s平台的第六代英特尔处理器系列,网址:https://www.intel.com/content/www/us/en/products/docs/processors/core/desktop-6th-gen-core-family-datasheet-vol-1.html (2018).
[46] J.Howard、S.Dighe、Y.Hoskote、S.Vangal、D.Finan、G.Ruhl等,《采用45nm cmos dvf的48核ia-32消息传递处理器》,收录于:ISSCC IEEE International,IEEE,2010年,第108-109页。
[47] M.Sadri,A.Bartolini,L.Benini,单片云计算机热模型,摘自:THERMINIC国际研讨会,IEEE,2011年,第1-6页。
此参考列表基于出版商或数字数学图书馆提供的信息。其项与zbMATH标识符进行启发式匹配,可能包含数据转换错误。在某些情况下,zbMATH Open的数据对这些数据进行了补充/增强。这试图尽可能准确地反映原始论文中列出的参考文献,而不要求完整或完全匹配。